HEADER_DATA_START OBDATA_V002 https://openboarddata.org TIMESTAMP 1714120757 BOARDPATH laptops/apple/820-00850 ID 820-00850 BRAND apple CATEGORY laptops COMMENT HEADER_DATA_END ### Released under the OBbL - https://opendatacommons.org/licenses/odbl/1-0/ DIAGNOSIS_DATA_START SECT_START CD3215 Repeat checks for each unit, U3100,3200, UB300, 400 NOTE_START UB300 0V6 diode mode on [n:USBC_TA_CC1] at [p:CB313:1] 0V6 diode mode on [n:USBC_TA_CC2] at [p:CB314:1] 5V on [p:FB200:1] 3V3 on [p:CB308:1] 1V8 on [p:CB305:1] 1V8 on [p:CB306:1] 1V1 on [p:CB304:1] ~26V on [p:QB300:1] and [p:QB300:4] Check [n:PPDCIN_G3H] on [p:QB300:8] NOTE_END NOTE_START UB400 0V6 diode mode on [n:USBC_TB_CC1] at [p:CB413:1] 0V6 diode mode on [n:USBC_TB_CC2] at [p:CB414:1] 5V on [p:FB201:1] 3V3 on [p:CB408:1] 1V8 on [p:CB405:1] 1V8 on [p:CB406:1] 1V1 on [p:CB404:1] ~26V on [p:QB400:1] and [p:QB400:4] Check [n:PPDCIN_G3H] on [p:QB400:8] NOTE_END NOTE_START U3100 0V6 diode mode on [n:USBC_XA_CC1] at [p:C3113:1] 0V6 diode mode on [n:USBC_XA_CC2] at [p:C3114:1] 5V on [p:F3000:1] 3V3 on [p:C3108:1] 1V8 on [p:C3105:1] 1V8 on [p:C3106:1] 1V1 on [p:C3104:1] Check 26V on [p:Q3100:1] and [p:Q3100:4] Check [n:PPDCIN_G3H] on [p:Q3100:8] NOTE_END NOTE_START U3200 0V6 diode mode on [n:USBC_XB_CC1] at [p:C3213:1] 0V6 diode mode on [n:USBC_XB_CC2] at [p:C3214:1] 5V on [p:F3001:1] 3V3 on [p:C3208:1] 1V8 on [p:C3205:1] 1V8 on [p:C3206:1] 1V1 on [p:C3204:1] Check 26V on [p:Q3200:1] and [p:Q3200:4] Check [n:PPDCIN_G3H] on [p:Q3200:8] NOTE_END NOTE_START 5V to 20V sequence USBC CC1/2 & T2-SMC I2C Diode mode ~0V6 on [n:USB_XA_CC1] at [p:C3113:1] Diode mode ~0V6 on [n:USB_XA_CC2] at [p:C3114:1] Diode mode ~0V6 on [n:I2C_UPC_SDA] at [p:R5220:2] Diode mode ~0V6 on [n:I2C_UPC_SCL] at [p:R5221:2] Power getting to the CD3215... 5V on [n:PP20V_USBC_XA_VBUS] at [p:F3000:1] Basic CD3215 voltages + LDOs... 3V3 on [n:PP3V3_UPC_XA_LDO] at [p:C3108:1] 1V8 on [n:PP1V8_UPC_XA_LDOA] at [p:C3106:1] 1V8 on [n:PP1V8_UPC_XA_LDOD] at [p:C3105:1] 1V1 on [n:PP1V1_UPC_XA_LDO_BMC] at [p:C3104:1] Open [p:Q3100] so power goes through to [n:PPDCIN_G3H]... >5V on [n:UPC_XA_GATE1] at [p:Q3100:1] >5V on [n:UPC_XA_GATE2] at [p:Q3100:4] 5V on [n:PPDCIN_G3H] at [p:C7000:1] Power to the ISL [p:U7000] ... (in) 5V on [n:TBA_VDDA] at [p:C7075:1] (out) >=3V3 on [n:CHGR_EN_MVR] at [p:R6907:2] Getting [n:PP3V3_G3H_RTC] ... 3V3 on [n:PP3V3_G3H_RTC] at [p:L6900:1] 20V switch over... 20V on [n:PP20V_USBC_XA_VBUS] at [p:F3000:1] >20V on [n:UPC_XA_GATE1] at [p:Q3100:1] >20V on [n:UPC_XA_GATE2] at [p:Q3100:4] 20V on [n:PPDCIN_G3H] at [p:C7000:1] Final steps... 5V on [n:TBA_AUX_DET] at [p:C7016:1] MOSFETS [p:Q7030] and [p:Q7040] should now be open [p:U7000] should be generating [n:PPBUS_G3H] Other things to check... Measure [p:R7075] for 4R7 value NOTE_END SECT_END DIAGNOSIS_DATA_END COMPONENTS_DATA_START ### Component Category Value Comment ### v = value, p = package, c = manufacturer code, r = rating, m = misc, s = status ### C1000 v 1UF C1001 v 1UF C1002 v 1UF C1003 v 1UF C1004 v 1UF C1005 v 1UF C1006 v 1UF C1007 v 1UF C1008 v 1UF C1009 v 1UF C100A v 1UF C100B v 1UF C100C v 1UF C100D v 1UF C100E v 1UF C100F v 1UF C100G v 1UF C100H v 1UF C100I v 1UF C100J v 1UF C100K v 1UF C100L v 1UF C100M v 1UF C100N v 1UF C100O v 1UF C100P v 1UF C100Q v 1UF C100R v 1UF C100S v 1UF C100T v 1UF C100U v 1UF C100V v 1UF C100W v 1UF C100X v 1UF C100Y v 1UF C1010 s - C1010 v 20UF C1011 v 20UF C1012 v 20UF C1013 v 20UF C1014 v 20UF C1015 v 20UF C1016 s - C1016 v 20UF C1017 v 20UF C1018 s - C1018 v 20UF C1019 s - C1019 v 20UF C1020 v 20UF C1021 v 20UF C1022 v 20UF C1023 v 20UF C1024 v 20UF C1025 v 20UF C1026 v 20UF C1027 v 20UF C1028 v 20UF C1029 v 20UF C1050 v 20UF C1051 v 20UF C1052 v 1UF C1053 v 1UF C1054 v 1UF C1055 v 1UF C1060 v 20UF C1061 v 20UF C1062 v 20UF C1063 v 20UF C1064 v 20UF C1065 v 20UF C1066 v 20UF C1070 v 20UF C1071 v 1UF C1080 v 20UF C1081 v 20UF C1082 v 1UF C1083 v 1UF C1084 v 1UF C1085 v 1UF C1086 v 220UF C1090 v 20UF C1091 v 20UF C1092 v 20UF C1093 v 20UF C1094 v 20UF C1095 v 20UF C10A0 v 1UF C10A1 v 1UF C10A2 v 1UF C10A3 v 1UF C10A4 v 1UF C10A5 v 1UF C10A6 v 1UF C10B0 v 20UF C10B1 v 20UF C10B2 s - C10B2 v 20UF C10B3 s - C10B3 v 20UF C10B4 v 20UF C10B5 v 20UF C10D0 v 20UF C10D1 v 1UF C10D2 v 1UF C10D3 v 1UF C10D4 v 1UF C10D5 v 1UF C10D6 v 1UF C10E0 v 20UF C10E1 v 20UF C10E2 v 20UF C10E3 v 20UF C10E4 v 20UF C10E5 v 20UF C10F0 v 1UF C10F1 v 1UF C10F2 v 1UF C10F3 v 1UF C10G0 v 220UF C10G1 v 220UF C10G3 v 220UF C10G4 v 220UF C10H0 v 220UF C10H1 v 220UF C10H2 v 220UF C10H3 v 20UF C1100 v 1UF C1101 v 1UF C1102 v 1UF C1103 v 1UF C1104 v 1UF C1105 v 1UF C1106 v 1UF C1107 v 1UF C1108 v 1UF C1109 v 1UF C110A v 1UF C110B v 1UF C110C v 1UF C110D v 1UF C110E v 1UF C110F v 1UF C110G v 1UF C110H v 1UF C110I v 1UF C110J v 1UF C110K v 1UF C110L v 1UF C110M v 1UF C110N v 1UF C110O v 1UF C110P v 1UF C110Q v 1UF C110R v 1UF C110S v 1UF C110T v 1UF C110U v 1UF C110V v 1UF C110W v 1UF C110X v 1UF C1110 v 20UF C1111 v 20UF C1112 v 20UF C1113 s - C1113 v 20UF C1114 s - C1114 v 20UF C1115 s - C1115 v 20UF C1116 v 20UF C1117 v 20UF C1118 v 20UF C1119 s - C1119 v 20UF C1124 v 20UF C1125 v 20UF C1126 v 20UF C1127 v 20UF C1128 v 20UF C1129 v 20UF C1162 v 220UF C1163 v 220UF C1164 v 220UF C1170 v 20UF C1171 v 20UF C1172 v 20UF C1173 v 20UF C1174 v 20UF C1175 v 20UF C1176 v 20UF C1177 v 20UF C1184 v 20UF C1187 v 20UF C1190 v 220UF C1191 v 220UF C1200 s - C1200 v 1UF C1201 v 1UF C1203 v 20UF C1205 v 1UF C1206 s - C1206 v 1UF C1207 s - C1207 v 1UF C1208 s - C1208 v 0.1UF C1221 s - C1221 v 1UF C1224 v 4.7UF C1226 v 2.0PF C1227 v 1UF C1228 v 0.1UF C1231 v 0.1UF C1232 v 1UF C1250 v 20UF C1251 v 20UF C1252 v 1UF C1253 v 20UF C1254 v 20UF C1255 v 1UF C1260 v 4.7UF C1261 v 4.7UF C1262 v 2.0PF C1265 v 3.0PF C1267 v 3.0PF C1400 v 100PF C1800 v 0.1UF C1801 v 0.1UF C1804 v 0.1UF C1806 v 0.1UF C1830 v 0.1UF C1907 v 9.5PF C1908 v 9.5PF C2005 v 0.1UF C2006 v 0.1UF C2007 v 0.1UF C2009 v 0.1UF C2010 v 0.1UF C2011 v 0.1UF C2220 v 0.022UF C2240 v 0.022UF C2260 v 0.022UF C2300 v 0.1UF C2301 v 0.1UF C2302 v 1UF C2303 v 1UF C2304 v 1UF C2305 v 1UF C2306 v 10UF C2307 v 10UF C2310 v 1UF C2311 v 1UF C2312 v 10UF C2320 v 1UF C2321 v 1UF C2322 v 1UF C2323 v 1UF C2324 v 10UF C2330 v 1UF C2331 v 1UF C2332 v 10UF C2333 v 10UF C2334 v 12PF C2335 v 12PF C2336 v 12PF C2337 v 12PF C2338 v 12PF C2339 v 12PF C2340 v 0.047UF C2341 v 0.047UF C2342 v 3.0PF C2343 v 3.0PF C2344 v 3.0PF C2345 v 3.0PF C2400 v 0.1UF C2401 v 0.1UF C2402 v 1UF C2403 v 1UF C2404 v 1UF C2405 v 1UF C2406 v 1UF C2407 v 10UF C2410 v 1UF C2411 v 1UF C2412 v 1UF C2420 v 10UF C2421 v 1UF C2422 v 1UF C2423 v 10UF C2424 v 10UF C2430 v 1UF C2431 v 1UF C2432 v 10UF C2433 v 10UF C2434 v 12PF C2435 v 12PF C2436 v 12PF C2437 v 12PF C2440 v 0.047UF C2441 v 0.047UF C2442 v 3.0PF C2443 v 3.0PF C2444 v 3.0PF C2445 v 3.0PF C2500 v 0.1UF C2501 v 0.1UF C2502 v 0.1UF C2503 v 1UF C2504 v 1UF C2505 v 1UF C2506 v 10UF C2507 v 10UF C2510 v 1UF C2511 v 1UF C2512 v 10UF C2520 v 1UF C2521 v 1UF C2522 v 1UF C2523 v 10UF C2524 v 10UF C2530 v 1UF C2531 v 1UF C2532 v 1UF C2533 v 10UF C2534 v 10UF C2535 v 12PF C2536 v 12PF C2537 v 12PF C2540 v 0.047UF C2541 v 0.047UF C2542 v 3.0PF C2543 v 3.0PF C2544 v 3.0PF C2600 v 0.1UF C2601 v 0.1UF C2602 v 1UF C2603 v 1UF C2604 v 1UF C2605 v 1UF C2606 v 10UF C2607 v 10UF C2610 v 1UF C2611 v 1UF C2612 v 10UF C2620 v 10UF C2621 v 1UF C2622 v 1UF C2623 v 1UF C2624 v 10UF C2630 v 1UF C2631 v 1UF C2632 v 10UF C2633 v 10UF C2634 v 12PF C2635 v 12PF C2636 v 12PF C2637 v 12PF C2640 v 0.047UF C2641 v 0.047UF C2642 v 3.0PF C2643 v 3.0PF C2644 v 3.0PF C2645 v 3.0PF C2646 v 3.0PF C2700 v 0.47UF C2701 v 0.47UF C2702 v 0.47UF C2703 v 0.47UF C2704 v 0.47UF C2705 v 0.47UF C2706 v 0.47UF C2707 v 0.47UF C2708 v 0.47UF C2709 v 0.47UF C2710 v 0.47UF C2711 v 0.47UF C2712 v 0.47UF C2713 v 0.47UF C2714 v 0.47UF C2715 v 0.47UF C2716 v 0.47UF C2717 v 0.47UF C2718 v 0.47UF C2719 v 0.47UF C2720 v 20UF C2722 v 3.0PF C2730 v 12PF C2731 v 12PF C2740 v 20UF C2742 v 3.0PF C2820 v 0.22UF C2821 v 0.22UF C2822 v 0.22UF C2823 v 0.22UF C2824 v 0.22UF C2825 v 0.22UF C2826 v 0.22UF C2827 v 0.22UF C2828 v 0.1UF C2829 v 0.1UF C2830 v 0.22UF C2831 v 0.22UF C2832 v 0.22UF C2833 v 0.22UF C2834 v 0.22UF C2835 v 0.22UF C2836 v 0.22UF C2837 v 0.22UF C2838 v 0.1UF C2839 v 0.1UF C2890 v 1UF C2910 v 1.0UF C2911 v 1.0UF C2912 v 1.0UF C2913 v 1.0UF C2914 v 1.0UF C2915 v 1.0UF C2916 v 1.0UF C2917 v 12PF C2920 v 1.0UF C2921 v 1.0UF C2930 v 1.0UF C2931 v 1.0UF C2932 v 1.0UF C2933 v 1.0UF C2934 v 1.0UF C2935 v 1.0UF C2936 v 1.0UF C2950 v 47UF C2951 v 47UF C2952 v 47UF C2954 v 10UF C2955 v 10UF C2964 v 1.0UF C2965 v 1.0UF C2966 v 1.0UF C2967 v 1.0UF C2968 v 10UF C2975 v 10UF C2976 v 10UF C2977 v 10UF C2978 v 10UF C2980 v 10UF C2981 v 1.0UF C2982 v 1.0UF C2983 v 1.0UF C2984 v 1.0UF C2985 v 1.0UF C2990 v 1.0UF C2991 v 1.0UF C2992 v 1.0UF C2993 v 1.0UF C2994 s - C2994 v 47UF C2995 s - C2995 v 47UF C3002 v 20PF C3003 v 20PF C3020 v 0.1UF C3021 v 0.1UF C3022 v 0.1UF C3040 v 0.22UF C3041 v 0.22UF C3042 v 0.22UF C3043 v 0.22UF C3044 v 0.22UF C3045 v 0.22UF C3046 v 0.22UF C3047 v 0.22UF C3050 v 0.22UF C3051 v 0.22UF C3052 v 0.22UF C3053 v 0.22UF C3054 v 0.22UF C3055 v 0.22UF C3056 v 0.22UF C3057 v 0.22UF C3100 v 10UF C3101 v 1UF C3104 v 2.2UF C3105 v 1.0UF C3106 v 0.47UF C3108 v 10UF C3109 v 0.47UF C3113 v 220PF C3114 v 220PF C3115 v 1.0UF C3200 v 10UF C3201 v 1UF C3204 v 2.2UF C3205 v 1.0UF C3206 v 0.47UF C3208 v 10UF C3209 v 0.47UF C3213 v 220PF C3214 v 220PF C3219 v 1.0UF C3310 v 3.0PF C3311 v 12PF C3313 v 12PF C3314 v 12PF C3315 v 12PF C3361 v 12PF C3363 v 12PF C3364 v 12PF C3365 v 12PF C3366 v 3.0PF C3370 v 0.22UF C3371 v 0.22UF C3372 v 0.22UF C3373 v 0.22UF C3380 v 0.33UF C3381 v 0.33UF C3382 v 0.33UF C3383 v 0.33UF C3385 v 0.33UF C3386 v 0.33UF C3387 v 0.33UF C3390 v 0.22UF C3391 v 0.22UF C3392 v 0.22UF C3393 v 0.22UF C3810 s - C3812 s - C3815 s - C3816 s - C3817 s - C3820 s - C3822 s - C3825 s - C3826 s - C3827 s - C3830 s - C3832 s - C3835 s - C3836 s - C3837 s - C5104 s - C6351 s - C6352 s - C6361 s - C6390 s - C6391 s - C6413 s - C6414 s - C6463 s - C6464 s - C6513 s - C6514 s - C6563 s - C6564 s - C7016 s - C7071 s - C7218 s - C7228 s - C7278 s - C7418 s - C7428 s - C7438 s - C7640 s - C7641 s - C7674 s - C7694 s - C7720 s - C8051 s - C8110 s - C8120 s - C8401 s - C8430 s - C8442 s - C9073 s - C9074 s - CB194 s - CB195 s - CC831 s - CC832 s - D3001 v BZT52C3V0LP D3090 v BAS70LP-COMBO D3091 v BAS70LP-COMBO D3092 v BAS70LP-COMBO D3093 v BAS70LP-COMBO D3300 v NSR20F40NX_G D3301 v ESDA25P35-1U1M-COMBO D3302 v ESDA25P35-1U1M-COMBO D3304 v ESD8011 D3312 v ESD8011 D3328 v ESD8011 D3329 v ESD8011 D3349 v ESD8011 D3354 v ESD8011 D3358 v ESD8011 D3360 v ESD8011 D3370 v NSR20F40NX_G D3371 v SESDL2011 D3372 v SESDL2011 D3373 v SESDL2011 D3374 v SESDL2011 D3375 v SESDL2011 D3376 v SESDL2011 D3377 v SESDL2011 D3378 v SESDL2011 D3379 v SESDL2011 D3380 v SESDL2011 D3381 v SESDL2011 D3382 v SESDL2011 D3383 v SESDL2011 D3384 v SESDL2011 D3385 v SESDL2011 D3386 v SESDL2011 D3432 v GDZ5V6LP3-55 D3442 v GDZ5V6LP3-55 D3452 v GDZ5V6LP3-55 D3462 v GDZ5V6LP3-55 D5530 v DSF01S30SCAP D6950 v RCLAMP3552T D7070 v NIS D8410 v PMEG10020ELR-DFLS2100 D8517 v DSF01S30SCAP D8518 v DSF01S30SCAP DB500 v NSR20F40NX_G DB501 v ESDA25P35-1U1M-COMBO DB502 v ESDA25P35-1U1M-COMBO DB504 v ESD8011 DB512 v ESD8011 DB528 v ESD8011 DB529 v ESD8011 DB549 v ESD8011 DB554 v ESD8011 DB558 v ESD8011 DB560 v ESD8011 DB570 v NSR20F40NX_G DB571 v SESDL2011 DB572 v SESDL2011 DB573 v SESDL2011 DB574 v SESDL2011 DB575 v SESDL2011 DB576 v SESDL2011 DB577 v SESDL2011 DB578 v SESDL2011 DB579 v SESDL2011 DB580 v SESDL2011 DB581 v SESDL2011 DB582 v SESDL2011 DB583 v SESDL2011 DB584 v SESDL2011 DB585 v SESDL2011 DB586 v SESDL2011 DB632 v GDZ5V6LP3-55 DB642 v GDZ5V6LP3-55 DB652 v GDZ5V6LP3-55 DB662 v GDZ5V6LP3-55 DE000 v LTST-C32JBGEW DE001 v LTST-C32JBGEW DE002 v LTST-C281KFKT-SM DE091 v GRN-90MCD-5MA-2.85V DE092 v GRN-90MCD-5MA-2.85V DE093 v GRN-90MCD-5MA-2.85V DE095 v GRN-90MCD-5MA-2.85V DZ3300 v 5.5V-6.2PF DZ3301 v 5.5V-6.2PF DZ3302 v 5.5V-6.2PF DZ3303 v 5.5V-6.2PF DZ3350 v 5.5V-6.2PF DZ3351 v 5.5V-6.2PF DZ3352 v 5.5V-6.2PF DZ3353 v 5.5V-6.2PF DZB500 v 5.5V-6.2PF DZB501 v 5.5V-6.2PF DZB502 v 5.5V-6.2PF DZB503 v 5.5V-6.2PF DZB550 v 5.5V-6.2PF DZB551 v 5.5V-6.2PF DZB552 v 5.5V-6.2PF DZB553 v 5.5V-6.2PF L1260 v 220-OHM-0.7A-0.28-OHM L1261 v 220-OHM-0.7A-0.28-OHM L2950 v 0.68UH-20%-6.1A-0.020OHM L2990 v 0 L3000 v 90-OHM-0.1A L3200 v 90-OHM-0.1A L3500 v 1.5UH-20%-12.5A-0.017OHM L3701 v 2.2UH-1.2A L3702 v 1UH-20%-4.1A-0.048OHM L3703 v 2.2UH-1.2A L3810 v 1.2NH-+/-0.05NH-1.1A-0.04OHM L3811 v 1.4NH+/-0.1NH-1.1A L3813 s - L3813 v 5.1NH-3%-0.4A L3814 v 2.5NH+/-0.1NH-0.6A L3820 v 1.2NH-+/-0.05NH-1.1A-0.04OHM L3821 v 1.2NH-+/-0.05NH-1.1A-0.04OHM L3823 s - L3823 v 5.1NH-3%-0.4A L3824 v 2.5NH+/-0.1NH-0.6A L3830 v 1.2NH-+/-0.05NH-1.1A-0.04OHM L3831 v 2.2NH+/-0.1NH-0.6A L3833 s - L3833 v 5.1NH-3%-0.4A L3834 v 1.2NH-+/-0.05NH-1.1A-0.04OHM L3841 v FERR-240OHM-25%-350MA L4460 v 120-OHM-25%-0.48A-0.21DCR L4590 v FERR-240OHM-25%-350MA L4901 v 1.0UH-0.4A-0.636OHM L5100 v 1.2UH-20%-0.12A-1.17OHM L5110 v 3.25-OHM-0.1A-2.4GHZ L5111 v 3.25-OHM-0.1A-2.4GHZ L6300 v FERR-22-OHM-1A-0.055OHM L6301 v FERR-22-OHM-1A-0.055OHM L6302 v FERR-22-OHM-1A-0.055OHM L6360 v FERR-22-OHM-1A-0.055OHM L6361 v FERR-470-OHM L6400 v 180OHM-3.4A L6401 v 180OHM-3.4A L6450 v 180OHM-3.4A L6451 v 180OHM-3.4A L6500 v 180OHM-3.4A L6501 v 180OHM-3.4A L6550 v 180OHM-3.4A L6551 v 180OHM-3.4A L6607 v FERR-470-OHM L6608 v FERR-470-OHM L6640 v FERR-470-OHM L6700 v FERR-120-OHM-1.5A L6900 v 1.0UH-20%-3.9A-0.035OHM L6901 v 1.0UH-20%-3.9A-0.035OHM L7030 v 2.7UH-20%-12.5A-0.0196OHM L7210 v 0.22UH-20%-44A-0.0019OHM L7220 v 0.22UH-20%-44A-0.0019OHM L7270 v 0.47UH-20A-0.007OHM L7410 v 0.22UH-20%-44A-0.0019OHM L7420 v 0.22UH-20%-44A-0.0019OHM L7430 v 0.22UH-20%-44A-0.0019OHM L7600 v 2.2UH-20%-4.5A-0.043OHM L7660 v 1.0UH-20%-14A-0.0107OHM L7702 v 0.56UH-20%-16A-0.0072OHM L7806 v 1UH-20%-3.8A-0.055OHM L7807 v 0.22UH-20%-6.7A-0.023OHM L7808 v 0.22UH-20%-6.7A-0.023OHM L7809 v 0.22UH-20%-6.7A-0.023OHM L7810 v 1.0UH-20%-2.6A-0.095OHM L7811 v 1UH-20%-4.7A-0.04OHM L7812 v 0.47UH-20%-4.8A-0.034OHM L7813 v 1UH-20%-3.8A-0.055OHM L7814 v 1UH-20%-3.8A-0.055OHM L7815 v 0.47UH-20%-4.8A-0.034OHM L7817 v 0.47UH-20%-4.8A-0.034OHM L7819 v 0.47UH-20%-4.8A-0.034OHM L7820 v 0.47UH-20%-4.8A-0.034OHM L7821 v 0.47UH-20%-4.8A-0.034OHM L7822 v 0.47UH-20%-4.8A-0.034OHM L8100 v 1.0UH-20%-14A-0.0107OHM L8102 v 0.68UH-20%-14.5A-0.009OHM L8410 v 15UH-20%-1.9A-0.24OHM L8502 v 3.25-OHM-0.1A-2.4GHZ L8503 v 3.25-OHM-0.1A-2.4GHZ L8504 v FERR-120-OHM-1.5A L9020 v 0.47UH-20%-5.1A-0.03OHM L9021 v 1UH-20%-3.8A-0.035OHM L9030 v 0.47UH-20%-5.1A-0.03OHM L9080 v 1UH-20%-3.8A-0.035OHM L9081 v 1UH-20%-3.8A-0.035OHM LB150 v 0.68UH-20%-6.1A-0.020OHM LB190 v 0 LB300 v 90-OHM-0.1A LB400 v 90-OHM-0.1A LB700 v 1.5UH-20%-12.5A-0.017OHM R0632 s - R1357 s - R1358 s - R1676 s - R1677 s - R1897 s - R2007 s - R2836 s - R2837 s - R2839 s - R3513 s - R3540 s - R3684 s - R3713 s - R4820 s - R5273 s - R5274 s - R5478 s - R5483 s - R5525 s - R5615 s - R5645 s - R5655 s - R5675 s - R5676 s - R5695 s - R5712 s - R5735 s - R5935 s - R5945 s - R5965 s - R6001 s - R6051 s - R6309 s - R6310 s - R6350 s - R6360 s - R6480 s - R6482 s - R6581 s - R6582 s - R6868 s - R6872 s - R7107 s - R7218 s - R7228 s - R7278 s - R7418 s - R7428 s - R7438 s - R7671 s - R7674 s - R7676 s - R7694 s - R7696 s - R7716 s - R7759 s - R7765 s - R7812 s - R7819 s - R7820 s - R7821 s - R8007 s - R8018 s - R8110 s - R8116 s - R8153 s - R8159 s - R8165 s - R8212 s - R8215 s - R8216 s - R8220 s - R8502 s - R8503 s - R8603 s - R8630 s - R8730 s - R8830 s - R8930 s - R9652 s - R9653 s - R9654 s - RB036 s - RB037 s - RB039 s - RB713 s - RB740 s - RE013 s - RE014 s - U0500 v CPU U1830 v 74AUP1G07GF U2002 v SN74AUP1T34-COMBO U2003 v 74AUP1G07GF U2009 v 74LVC1G08FZ4 U2010 v SN74AUP1T34-COMBO U2300 v LPDDR3-1600-32GB U2400 v LPDDR3-1600-32GB U2500 v LPDDR3-1600-32GB U2600 v LPDDR3-1600-32GB U2800 v TITAN-RIDGE-DP U2890 v W25Q80DVUXIE U3000 v PI3USB32324 U3100 v CD3215A U3200 v CD3215A U3500 v ISL95870AHRUZ-_R5749 U3540 v 74LVC1G08FZ4 U3640 v PI3USB102J U3650 v PI3USB102J U3710 v CAS93C86B U3730 v LBEE5ZZ1HP-049 U3750 v W25Q20EWUXIE U3810 v DPX205950DT-9063B2SJ U3820 v DPX205950DT-9063B2SJ U3830 v DPX205950DT-9163C1SJ U3840 v BMA282 U3900 v H9M U4730 v M24128 U4740 v 74AXP1T57 U4750 v SN74AUC1G126 U4755 v SN74AUP1T34-COMBO U4760 v SN74AVC4T245RSV U4770 v MX25U3235F U4780 v REF3312AIRSE U4790 v 74LVC1G07FW5 U4800 v NIS U4801 v NIS U4802 v 74LVC1G32 U4900 v LM3638 U4910 v NCP160AMX300 U4920 v LP5907SNX-1.825 U5000 v PN80VEU3-C004B011 U5100 v NCP160AMX180 U5111 v SLG5AP1449V U5250 v NLSX4402 U5272 v NLSX4402 U5400 v INA214A U5410 v INA210A U5420 v INA210A U5440 v INA210A U5450 v INA214A U5460 v INA210A U54A0 v INA210A U54B0 v INA210A U5510 v INA210A U5520 v INA210A U5530 v LTC2050HV U5540 v ISL28133 U5560 v INA210A U5570 v INA210A U5580 v INA210A U5610 v INA210A U5620 v INA210A U5640 v INA210A U5650 v INA210A U5670 v INA210A U5690 v INA210A U5700 v LTC2309 U5710 v LTC2309 U5720 v INA210A U5730 v INA210A U5740 v ISL28133 U5760 v INA210A U5770 v INA214A U5780 v INA214A U5790 v INA210A U5850 v TMP461 U5860 v TMP461 U5870 v TMP464 U5920 v INA210A U5930 v INA210A U5940 v INA210A U5960 v INA210A U6300 v CS42L83A U6360 s - U6360 v NCP160AMX180 U6400 v PTAS5770LB2 U6450 v PTAS5770LB2 U6500 v PTAS5770LB2 U6550 v PTAS5770LB2 U6640 v 74LVC1G08FW5 U6641 v 74LVC1G08FW5 U6650 v SLG4AP41496V U6855 v SN74AUP1T34-COMBO U6860 v SN74AVC4T774-COMBO U6903 v TPS62180 U6940 v SLG4AP41183 U7000 v ISL9240 U7100 v ISL95828 U7210 v FDMF5808A U7220 v FDMF5808A U7270 v SIC532CD U7410 v FDMF5808A U7420 v FDMF5808A U7430 v FDMF5808A U7600 v CSD58879Q3D U7650 v TPS51980A U7660 v CSD58873Q3D U7710 v ISL95870HRUZ U7711 v 74AUP1T97GM U7712 v 74AUP2G17FZ4-55-COMBO U7790 v 74AUP1G07GF U7800 v CALPE-PMU U7901 v SLG5AP1668V U8100 v TPS51916 U8110 v ISL95870HRUZ U8111 v 74AUP1T97GM U8112 v 74AUP2G17FZ4-55-COMBO U8190 v SN74AUP1T34-COMBO U8200 v SLG5AP1445V U8203 v SLG5AP1445V U8205 v SLG5AP1445V U8207 v SLG5AP1635V U8208 v 74AUP1G08GF U8211 v 74AUP1T97GM U8212 v 74AUP1T97GM U8213 v SLG5AP1443V U8220 v SLG5AP1445V U8250 v SLG5AP1569V U8400 v LP8548B1SQ_-04 U8500 v SLG5AP1443V U8501 v SLG5AP1443V U8502 v NX3DV642GU U8510 v SLG4AP4998 U8600 v THGBX7ACRA3LDXI1 U8700 v THGBX7ACRA3LDXI1 U8800 v THGBX7ACRA3LDXI1 U8900 v THGBX7ACRA3LDXI1 U9000 v D2499A0P0VLAVAG2 U9080 v TPS62180 U9800 v CBTL06142FEE U9810 v CBTL06142FEE U9820 v SLG4AP41172V UB000 v TITAN-RIDGE-DP UB090 v W25Q80DVUXIE UB300 v CD3215A UB400 v CD3215A UB700 v ISL95870AHRUZ-_R5749 UB740 v 74LVC1G08FZ4 UE010 v PI3USB102EZLE UE020 v SLG4AP41990 COMPONENTS_DATA_END NETS_DATA_START ### Network Valuetype Value Comment ### d = diode, v = voltage, r = resistance, a = alias, t = net comment ### 50_0_ANT/Default d 0.000 '' 50_1_ANT/Default d 0.000 '' 50_2_ANT/Default d 0.000 '' ACCEL_INT1/Default d 0.472 '' ACT_GND/Default d 0.000 '' ACT_GND/Default r 0.300R '' ADC1_REFCOMP/Default d OL '' ADC2_REFCOMP/Default d OL '' ALL_SYS_PWRGD/Default d 0.555 '' ALL_SYS_PWRGD_R/Default d 0.487 '' AP_PCIE_WAKE_L/Default d 0.530 '' AUD_CONN_HP_RIGHT/Default d 0.710 '' AUD_CONN_HP_SENSE_L/Default d 1.270 '' AUD_CONN_HP_SENSE_R/Default d 1.269 '' AUD_CONN_RING2_XW/Default d 0.631 '' AUD_CONN_RING_SENSE/Default d 0.639 '' AUD_CONN_SLEEVE/Default d 0.003 '' AUD_CONN_SLEEVE/Default r 1.300R '' AUD_CONN_SLEEVE_XW/Default d 0.629 '' AUD_CONN_TIP_SENSE/Default d 1.482 '' AUD_HP_PORT_CH_GND/Default d 0.001 '' AUD_HP_PORT_CH_GND/Default r 1.200R '' AUD_HP_PORT_L/Default d 0.710 '' AUD_HP_PORT_R/Default d 0.712 '' AUD_HP_PORT_US_GND/Default d 0.001 '' AUD_HP_PORT_US_GND/Default r 1.200R '' AUD_HP_SENSE_L/Default d 1.270 '' AUD_HP_SENSE_R/Default d 1.270 '' AUD_HS_MIC_N/Default d 0.632 '' AUD_HS_MIC_P/Default d 0.630 '' AUD_PWR_EN/Default d 0.774 '' AUD_RING_SENSE/Default d 0.635 '' AUD_TIP_SENSE/Default d 1.606 '' BKLT_EN_R/Default d 0.562 '' BKLT_PWM_KEYB/Default d 0.560 '' BKLT_PWM_MLB2TCON/Default d 0.827 '' BKLT_SCL/Default d 0.555 '' BKLT_SDA/Default d 0.556 '' BKLT_SENSE_OUT/Default d 0.739 '' BMON_IOUT/Default d OL '' BOARD_REV0/Default d 0.456 '' BOARD_REV1/Default d 0.465 '' BOARD_REV2/Default d 0.461 '' BOOT_CONFIG0/Default d 0.464 '' BOOT_CONFIG1/Default d 0.462 '' BOOT_CONFIG2/Default d 0.464 '' BTUARTMUX_OE_L/Default d 0.503 '' BTUARTMUX_SEL_PCH/Default d 0.458 '' BT_PWR_EN/Default d 0.483 '' BT_SFLASH_CS_L/Default d 0.696 '' BT_SFLASH_HOLD_L/Default d 0.658 '' BT_SFLASH_WP_L/Default d 0.662 '' BT_SPI2_CSN/Default d 0.726 '' CHGR_AMON/Default d 0.623 '' CHGR_AUX_DET/Default d 0.626 '' CHGR_BGATE/Default d 0.638 '' CHGR_BMON/Default d 0.623 '' CHGR_BOOT1/Default d 0.591 '' CHGR_BOOT1_RC/Default d 0.595 '' CHGR_BOOT2/Default d 0.588 '' CHGR_BOOT2_RC/Default d 0.590 '' CHGR_COMP/Default d 0.623 '' CHGR_CSI_N/Default d 0.560 '' CHGR_CSI_P/Default d 0.560 '' CHGR_CSI_R_N/Default d 0.558 '' CHGR_CSI_R_P/Default d 0.558 '' CHGR_CSO_N/Default d 0.467 '' CHGR_CSO_P/Default d 0.468 '' CHGR_CSO_R_N/Default d 0.467 '' CHGR_CSO_R_P/Default d 0.467 '' CHGR_EN_MVR/Default d 0.607 '' CHGR_EN_MVR_R/Default d 0.609 '' CHGR_GATE_Q1/Default d 0.770 '' CHGR_GATE_Q2/Default d 0.452 '' CHGR_INT_L/Default d 0.602 '' CHGR_LX1/Default d 0.480 '' CHGR_LX2/Default d 0.480 '' CHGR_RST_IN/Default d 0.620 '' CHGR_RST_IN_R/Default d 0.600 '' CHGR_VDDA/Default d 0.450 '' CHGR_VDDP/Default d 0.449 '' CODEC_INT_L/Default d 0.461 '' CODEC_LDO_EN/Default d 0.781 '' CODEC_RESET_L/Default d 0.464 '' CODEC_WAKE_L/Default d 0.464 '' COMP_A_CPUGT/Default d 0.625 '' COMP_A_CPUGT_L/Default d OL '' COMP_B_CPUCORE/Default d 0.58 '' COMP_B_CPUCORE_L/Default d OL '' COMP_C_CPUSA/Default d 0.625 '' COMP_C_CPUSA_L/Default d OL '' CORE_ISUMN_R/Default d 1.011 '' CPUCORE_BOOT1/Default d 0.608 '' CPUCORE_BOOT2/Default d 0.606 '' CPUCORE_BP1/Default d 0.608 '' CPUCORE_BP2/Default d 0.606 '' CPUCORE_FCCM/Default d 0.56 '' CPUCORE_ISEN1/Default d 0.58 '' CPUCORE_ISEN2/Default d 0.58 '' CPUCORE_ISNS1_N/Default d 0.005 '' CPUCORE_ISNS1_P/Default d 0.005 '' CPUCORE_ISNS2_N/Default d 0.005 '' CPUCORE_ISNS2_P/Default d 0.005 '' CPUCORE_ISUMN/Default d 0.007 '' CPUCORE_ISUMN/Default r 6.400R '' CPUCORE_ISUMN_R/Default d 0.29 '' CPUCORE_ISUMP/Default d 0.29 '' CPUCORE_PHASE1/Default d 0.006 '' CPUCORE_PHASE2/Default d 0.006 '' CPUCORE_PSYS/Default d 0.58 '' CPUCORE_PWM1/Default d 0.57 '' CPUCORE_SW1/Default d 0.005 '' CPUCORE_SW1/Default r 4.400R '' CPUCORE_SW1/Default t '' CPUCORE_SW1_SNUB/Default d OL '' CPUCORE_SW2/Default d 0.005 '' CPUCORE_SW2/Default r 4.400R '' CPUCORE_SW2/Default t '' CPUCORE_SW2_SNUB/Default d OL '' CPUGT3_DRVH/Default d OL '' CPUGTVSENSE_IN/Default d 0.005 '' CPUGT_BOOT1/Default d 0.606 '' CPUGT_BOOT2/Default d 0.609 '' CPUGT_BOOT3/Default d 0.607 '' CPUGT_BP1/Default d 0.607 '' CPUGT_BP2/Default d 0.610 '' CPUGT_BP3/Default d 0.608 '' CPUGT_ISEN1/Default d 0.626 '' CPUGT_ISEN2/Default d 0.627 '' CPUGT_ISEN3/Default d 0.625 '' CPUGT_ISNS1_N/Default d 0.005 '' CPUGT_ISNS1_P/Default d 0.005 '' CPUGT_ISNS2_N/Default d 0.005 '' CPUGT_ISNS2_N/Default r 4.600R '' CPUGT_ISNS2_P/Default d 0.005 '' CPUGT_ISNS2_P/Default r 4.600R '' CPUGT_ISNS3_N/Default d 0.005 '' CPUGT_ISNS3_P/Default d 0.005 '' CPUGT_ISNS_N/Default d OL '' CPUGT_ISNS_P/Default d OL '' CPUGT_ISNS_R_N/Default d OL '' CPUGT_ISNS_R_P/Default d OL '' CPUGT_ISUMN/Default d 0.002 '' CPUGT_ISUMN/Default r 0.200R '' CPUGT_ISUMN_R/Default d 0.340 '' CPUGT_ISUMP/Default d 0.341 '' CPUGT_ISUM_IOUT/Default d OL '' CPUGT_PHASE2/Default d 0.005 '' CPUGT_PHASE2/Default r 4.900R '' CPUGT_PHASE3/Default d 0.005 '' CPUGT_PWM1/Default d 0.613 '' CPUGT_PWM2/Default d 0.614 '' CPUGT_SW1/Default d 0.005 '' CPUGT_SW1/Default r 4.600R '' CPUGT_SW1/Default t '' CPUGT_SW1_SNUB/Default d OL '' CPUGT_SW2/Default d 0.005 '' CPUGT_SW2/Default r 4.600R '' CPUGT_SW2/Default t '' CPUGT_SW2_SNUB/Default d OL '' CPUGT_SW3/Default d 0.005 '' CPUGT_SW3/Default r 4.600R '' CPUGT_SW3/Default t '' CPUGT_SW_SNUB/Default d OL '' CPUHI_IOUT/Default d 0.720 '' CPUSAVSENSE_IN/Default d 0.029 '' CPUSAVSENSE_IN/Default r 29.000R '' CPUSA_BOOTSA/Default d 0.607 '' CPUSA_BPSA/Default d 0.607 '' CPUSA_DRVH/Default d OL '' CPUSA_FCCM/Default d 0.615 '' CPUSA_GL0/Default d 0.440 '' CPUSA_ISNS_N/Default d 0.037 '' CPUSA_ISNS_N/Default r 36.300R '' CPUSA_ISNS_P/Default d 0.037 '' CPUSA_ISNS_P/Default r 36.400R '' CPUSA_ISNS_R_N/Default d 0.024 '' CPUSA_ISNS_R_N/Default r 22.100R '' CPUSA_ISNS_R_P/Default d 0.024 '' CPUSA_ISNS_R_P/Default r 22.100R '' CPUSA_ISUMN/Default d 0.026 '' CPUSA_ISUMN/Default r 24.000R '' CPUSA_ISUMN_R/Default d 0.490 '' CPUSA_ISUMP/Default d 0.575 '' CPUSA_PHASESA/Default d 0.024 '' CPUSA_PWM/Default d 0.614 '' CPUSA_SW_SNUB/Default d OL '' CPUTHMSNS_ADDR_SEL/Default d 0.646 '' CPUTHMSNS_D1_N/Default d 0.001 '' CPUTHMSNS_D1_N/Default r 0.600R '' CPUTHMSNS_D1_P/Default d 0.646 '' CPUTHMSNS_D2_N/Default d 0.003 '' CPUTHMSNS_D2_N/Default r 1.200R '' CPUTHMSNS_D2_P/Default d 0.645 '' CPUTHMSNS_D3_N/Default d 0.002 '' CPUTHMSNS_D3_N/Default r 0.030R '' CPUTHMSNS_D3_P/Default d 0.644 '' CPUTHMSNS_D4_N/Default d 0.002 '' CPUTHMSNS_D4_N/Default r 0.040R '' CPUTHMSNS_D4_P/Default d 0.643 '' CPUVCCIO_IOUT/Default d OL '' CPUVR_ISNS_N/Default d OL '' CPUVR_ISNS_P/Default d OL '' CPUVR_ISNS_R_N/Default d OL '' CPUVR_ISNS_R_P/Default d OL '' CPUVR_ISUM_IOUT/Default d OL '' CPUVR_SWSA/Default d 0.024 '' CPUVR_SWSA/Default r 22.100R '' CPUVR_SWSA/Default t '' CPUVR_VIDALERT_L_R/Default d 0.294 '' CPUVR_VIDSCLK_R/Default d 0.304 '' CPUVR_VIDSOUT_R/Default d 0.265 '' CPUVSENSE_IN/Default d 0.007 '' CPUVSENSE_IN/Default r 8.100R '' CPU_C10_GATE_L/Default d 0.754 '' CPU_CATERR_L/Default d 0.255 '' CPU_CFG<3>/Default d 0.309 '' CPU_CFG<4>/Default d 0.292 '' CPU_CFG_RCOMP/Default d 0.053 '' CPU_CFG_RCOMP/Default r 50.200R '' CPU_DDR_RCOMP<0>/Default d 0.197 '' CPU_DDR_RCOMP<1>/Default d 0.082 '' CPU_DDR_RCOMP<1>/Default r 81.000R '' CPU_DDR_RCOMP<2>/Default d 0.163 '' CPU_DIMMB_VREFDQ/Default d 0.388 '' CPU_DIMM_VREFCA/Default d 0.384 '' CPU_INPUT3VSEL/Default d 0.831 '' CPU_IST_TRIG/Default d 0.353 '' CPU_PECI/Default d 0.284 '' CPU_PROCHOT_L/Default d 0.458 '' CPU_PROCHOT_OUT_L/Default d 0.297 '' CPU_PROCHOT_R_L/Default d 0.313 '' CPU_VCCEOPIOSENSE_N/Default d 0.003 '' CPU_VCCEOPIOSENSE_N/Default r 0.700R '' CPU_VCCEOPIOSENSE_P/Default d 0.042 '' CPU_VCCEOPIOSENSE_P/Default r 39.900R '' CPU_VCCEOPIOSENSE_R/Default d 0.051 '' CPU_VCCEOPIOSENSE_R/Default r 49.700R '' CPU_VCCEOPIOSENSE_XW/Default d 0.003 '' CPU_VCCEOPIOSENSE_XW/Default r 1.300R '' CPU_VCCGTSENSE_N/Default d 0.003 '' CPU_VCCGTSENSE_N/Default r 1.500R '' CPU_VCCGTSENSE_P/Default d 0.007 '' CPU_VCCGTSENSE_P/Default r 5.800R '' CPU_VCCIOSENSE_N/Default d 0.001 '' CPU_VCCIOSENSE_N/Default r 0.400R '' CPU_VCCIOSENSE_P/Default d 0.132 '' CPU_VCCIOSENSE_R/Default d 0.205 '' CPU_VCCIOSENSE_XW/Default d 0.003 '' CPU_VCCIOSENSE_XW/Default r 1.500R '' CPU_VCCSASENSE_N/Default d 0.003 '' CPU_VCCSASENSE_N/Default r 1.300R '' CPU_VCCSASENSE_P/Default d 0.027 '' CPU_VCCSASENSE_P/Default r 24.800R '' CPU_VCCSENSE_N/Default d 0.002 '' CPU_VCCSENSE_N/Default r 0.800R '' CPU_VCCSENSE_P/Default d 0.007 '' CPU_VCCSENSE_P/Default r 5.600R '' CPU_VCCST_PWRGD/Default d 0.517 '' CPU_VCCST_PWRGD_R/Default d 0.542 '' CPU_VIDALERT_L/Default d 0.295 '' CPU_VIDALERT_R_L/Default d 0.517 '' CPU_VIDSCLK/Default d 0.256 '' CPU_VIDSCLK_R/Default d 0.256 '' CPU_VIDSOUT/Default d 0.258 '' CPU_VIDSOUT_R/Default d 0.358 '' CPU_VR_EN_R/Default d 0.485 '' CPU_VR_PROCHOT_L/Default d 0.518 '' CPU_VR_READY/Default d 0.576 '' CPU_ZVM_L/Default d 0.384 '' CSI2_COMP/Default d 0.101 '' DBGLED_S0/Default d OL '' DBGLED_S0I3/Default d OL '' DBGLED_S0I3_D/Default d OL '' DBGLED_S0_D/Default d OL '' DBGLED_S3/Default d OL '' DBGLED_S3_D/Default d OL '' DBGLED_S4/Default d OL '' DBGLED_S4_D/Default d OL '' DDI1_MUX_EN/Default d 0.687 '' DDI1_MUX_SEL_INV/Default d 0.646 '' DDI2_MUX_EN/Default d 0.696 '' DDRREG_VTTSNS/Default d 0.153 '' DEBUG_JTAG_SOC_TDI/Default d 0.465 '' DEBUG_JTAG_SOC_TDO/Default d 0.464 '' DFR_DISP_INT/Default d 0.49 '+' DFR_DISP_RESET_L/Default d 0.49 '+' DFR_DISP_TE/Default d 0.49 '+' DFR_DISP_VSYNC/Default d OL '+' DFR_PWR_EN/Default d 0.467 '' DFR_PWR_EN_R/Default d 0.551 '' DFR_TOUCH_CLK32K_RESET_L/Default d 0.50 '+' DFR_TOUCH_INT_L/Default d 0.50 '+' DFR_TOUCH_LID_OPEN_L/Default d OL '' DFR_TOUCH_RESET_L/Default d 0.48 '+' DFUMUX_SEL/Default d OL '' DP_DDI1_ML_C_N<0>/Default d 0.277 '' DP_DDI1_ML_C_P<0>/Default d 0.275 '' DP_DDPB_HPD/Default d 0.605 '' DP_DDPC_HPD/Default d 0.650 '' DP_INT_HPD/Default d OL '' DP_TA_HPD/Default d 0.568 '' DP_TB_HPD/Default d 0.54 '' DP_T_SNK0_AUXCH_C_N/Default d 0.494 '' DP_T_SNK0_AUXCH_C_P/Default d 0.671 '' DP_T_SNK0_AUXCH_N/Default d 0.420 '' DP_T_SNK0_AUXCH_P/Default d 0.440 '' DP_T_SNK0_HPD/Default d 0.582 '' DP_T_SNK1_AUXCH_C_N/Default d 0.495 '' DP_T_SNK1_AUXCH_C_P/Default d 0.670 '' DP_T_SNK1_AUXCH_N/Default d 0.415 '' DP_T_SNK1_AUXCH_P/Default d 0.440 '' DP_T_SNK1_HPD/Default d 0.545 '' DP_XA_HPD/Default d 0.614 '' DP_XB_HPD/Default d 0.55 '' DP_X_SNK0_AUXCH_C_N/Default d 0.495 '' DP_X_SNK0_AUXCH_C_P/Default d 0.502 '' DP_X_SNK0_AUXCH_N/Default d 0.430 '' DP_X_SNK0_AUXCH_P/Default d 0.466 '' DP_X_SNK0_HPD/Default d 0.575 '' DP_X_SNK1_AUXCH_C_N/Default d 0.492 '' DP_X_SNK1_AUXCH_C_P/Default d 0.495 '' DP_X_SNK1_AUXCH_N/Default d 0.429 '' DP_X_SNK1_AUXCH_P/Default d 0.455 '' DP_X_SNK1_HPD/Default d 0.578 '' EADC1_LCDBKLT_ISENSE/Default d OL '' EADC1_P3V3RTC_HI_ISENSE/Default d OL '' EADC1_PP3V3S0_DFR_ISENSE/Default d OL '' EADC1_PP3V3_KBD_ISENSE/Default d OL '' EADC1_PP5V_TPAD_ISENSE/Default d OL '' EADC1_SPKRAMPL_ISENSE/Default d OL '' EADC1_TBT_ISENSE/Default d OL '' EADC1_TBT_T_ISENSE/Default d OL '' EADC2_AD0/Default d OL '' EADC2_BMON_DISCRETE_ISENSE/Default d OL '' EADC2_CAMERA_ISENSE/Default d OL '' EADC2_DDR1V8_ISENSE/Default d OL '' EADC2_KBBLT_ISENSE/Default d OL '' EADC2_MESA_ISENSE/Default d OL '' EADC2_PP3V3_TPAD_ISENSE/Default d OL '' EADC2_PP5V_LCD_ISENSE/Default d OL '' EADC2_SPKRAMPR_ISENSE/Default d OL '' EDP_BKLT_EN/Default d 0.563 '' EDP_COMP/Default d 0.151 '' EDP_INT_AUX_N/Default d 0.271 '' EDP_INT_AUX_P/Default d 0.274 '' EDP_INT_ML_N<0>/Default d 0.274 '' EDP_INT_ML_N<1>/Default d 0.274 '' EDP_INT_ML_N<2>/Default d 0.276 '' EDP_INT_ML_N<3>/Default d 0.273 '' EDP_INT_ML_P<0>/Default d 0.274 '' EDP_INT_ML_P<1>/Default d 0.275 '' EDP_INT_ML_P<2>/Default d 0.275 '' EDP_INT_ML_P<3>/Default d 0.273 '' EDP_PANEL_PWR_BUF_EN/Default d 0.617 '' EDP_PANEL_PWR_DLY_EN/Default d 0.612 '' EDP_PANEL_PWR_EN/Default d 0.560 '' EMMC_RCOMP/Default d 0.203 '' ENET_CLKREQ_L/Default d 0.463 '' ESD_GND/Default d 0.000 '' ESPI_CLK60M/Default d 0.460 '' ESPI_CLK60M_DBG/Default d 0.504 '' ESPI_CLK60M_R/Default d 0.475 '' ESPI_CS_L/Default d 0.457 '' ESPI_IO<0>/Default d 0.473 '' ESPI_IO<1>/Default d 0.476 '' ESPI_IO<2>/Default d 0.473 '' ESPI_IO<3>/Default d 0.471 '' ESPI_IO_PCH<0>/Default d 0.485 '' ESPI_IO_PCH<1>/Default d 0.490 '' ESPI_IO_PCH<2>/Default d 0.495 '' ESPI_IO_PCH<3>/Default d 0.483 '' ESPI_IO_R<0>/Default d 0.458 '' ESPI_IO_R<1>/Default d 0.458 '' ESPI_IO_R<2>/Default d 0.457 '' ESPI_IO_R<3>/Default d 0.456 '' FAN_LT_PWM/Default d 0.839 '' FAN_LT_TACH/Default d OL '' FAN_RT_PWM/Default d 0.841 '' FAN_RT_TACH/Default d OL '' FB_A_CPUGT/Default d 0.605 '' FB_A_GT_R/Default d 1.609 '' FB_A_GT_RC/Default d 1.610 '' FB_B_CORE_R/Default d 1.610 '' FB_B_CORE_RC/Default d 1.327 '' FB_B_CPUCORE/Default d 0.55 '' FB_CORE_R/Default d 0.007 '' FB_CORE_R/Default r 6.200R '' FB_C_CPUSA/Default d 0.603 '' FB_C_SA_RC/Default d 1.167 '' FB_GT_R/Default d 0.007 '' FB_GT_R/Default r 5.800R '' FB_SA_R/Default d 0.026 '' FB_SA_R/Default r 24.900R '' GT_ISUMN_R/Default d 1.017 '' HS_3V3RTC_IOUT/Default d OL '' HS_MIC_N/Default d 0.632 '' HS_MIC_P/Default d 0.633 '' HS_OTHER3V3_IOUT/Default d 0.718 '' HS_OTHER5V_IOUT/Default d 0.720 '' I2C_ALS_SCL/Default d 0.459 '' I2C_ALS_SDA/Default d 0.457 '' I2C_BATT_SCL_R/Default d 0.471 '' I2C_BATT_SDA_R/Default d 0.468 '' I2C_BKLT_SCL/Default d 0.555 '' I2C_BKLT_SDA/Default d 0.556 '' I2C_CODEC_SCL/Default d 0.458 '' I2C_CODEC_SDA/Default d 0.457 '' I2C_DFR_SCL/Default d 0.467 '' I2C_DFR_SCL_R/Default d 0.50 '+' I2C_DFR_SDA/Default d 0.466 '' I2C_DFR_SDA_R/Default d 0.50 '+' I2C_DISP_LS_EN/Default d 0.716 '' I2C_DISP_SCL/Default d 0.458 '' I2C_DISP_SDA/Default d 0.457 '' I2C_FTCAM_ISOL_SCL/Default d 0.631 '' I2C_FTCAM_ISOL_SDA/Default d 0.652 '' I2C_FTCAM_SCL/Default d 0.455 '' I2C_FTCAM_SDA/Default d 0.454 '' I2C_KBD_SCL/Default d OL '' I2C_KBD_SDA/Default d OL '' I2C_PWR_SCL/Default d 0.464 '' I2C_PWR_SDA/Default d 0.461 '' I2C_SEP_SCL/Default d 0.44 '' I2C_SEP_SDA/Default d 0.44 '' I2C_SNS0_S0_SCL/Default d 0.458 '' I2C_SNS0_S0_SDA/Default d 0.458 '' I2C_SNS1_S0_SCL/Default d 0.460 '' I2C_SNS1_S0_SDA/Default d 0.465 '' I2C_SNS_G3S_SCL/Default d 0.464 '' I2C_SNS_G3S_SDA/Default d 0.465 '' I2C_SPKRAMP_L_SCL/Default d 0.388 '' I2C_SPKRAMP_L_SDA/Default d 0.387 '' I2C_SPKRAMP_R_SCL/Default d 0.386 '' I2C_SPKRAMP_R_SDA/Default d 0.385 '' I2C_SSD_SCL/Default d 0.463 '' I2C_SSD_SDA/Default d 0.463 '' I2C_TBT_TA_INT_L/Default d 0.689 '' I2C_TBT_TB_INT_L/Default d 0.681 '' I2C_TBT_T_SCL/Default d 0.540 '' I2C_TBT_T_SDA/Default d 0.540 '' I2C_TBT_XA_INT_L/Default d 0.707 '' I2C_TBT_XB_INT_L/Default d 0.719 '' I2C_TBT_X_SCL/Default d 0.54 '' I2C_TBT_X_SDA/Default d 0.54 '' I2C_TCON_SCL/Default d 0.613 '' I2C_TCON_SDA/Default d 0.613 '' I2C_TPAD_3V3_SCL/Default d 1.000 '' I2C_TPAD_3V3_SCL_R/Default d 0.976 '' I2C_TPAD_3V3_SDA/Default d 1.027 '' I2C_TPAD_3V3_SDA_R/Default d 0.996 '' I2C_TPAD_SDA_R/Default d 0.496 '' I2C_UPC_SCL/Default d 0.466 '' I2C_UPC_SDA/Default d 0.463 '' I2C_UPC_TA_DBG_CTL_SCL/Default d 0.738 '' I2C_UPC_TA_DBG_CTL_SDA/Default d 0.742 '' I2C_UPC_TB_DBG_CTL_SCL/Default d 0.74 '' I2C_UPC_TB_DBG_CTL_SDA/Default d 0.74 '' I2C_UPC_T_SCL2/Default d 0.505 '' I2C_UPC_T_SDA2/Default d 0.500 '' I2C_UPC_XA_DBG_CTL_SCL/Default d 0.545 '' I2C_UPC_XA_DBG_CTL_SDA/Default d 0.631 '' I2C_UPC_XB_DBG_CTL_SCL/Default d 0.74 '' I2C_UPC_XB_DBG_CTL_SDA/Default d 0.74 '' I2C_UPC_X_SCL2/Default d 0.506 '' I2C_UPC_X_SDA2/Default d 0.499 '' I2S_CODEC_BCLK/Default d 0.465 '' I2S_CODEC_BCLK_R/Default d 0.455 '' I2S_CODEC_D2R/Default d 0.462 '' I2S_CODEC_LRCLK/Default d 0.458 '' I2S_CODEC_LRCLK_R/Default d 0.470 '' I2S_CODEC_R2D/Default d 0.450 '' I2S_CODEC_R2D_R/Default d 0.446 '' I2S_SPKRAMP_L_BCLK/Default d 0.388 '' I2S_SPKRAMP_L_BCLK_R/Default d 0.405 '' I2S_SPKRAMP_L_D2R/Default d 0.379 '' I2S_SPKRAMP_L_D2R_R1/Default d 0.389 '' I2S_SPKRAMP_L_D2R_R2/Default d 0.387 '' I2S_SPKRAMP_L_LRCLK/Default d 0.405 '' I2S_SPKRAMP_L_LRCLK_R/Default d 0.387 '' I2S_SPKRAMP_L_R2D/Default d 0.388 '' I2S_SPKRAMP_L_R2D_R/Default d 0.405 '' I2S_SPKRAMP_R_BCLK/Default d 0.391 '' I2S_SPKRAMP_R_BCLK_R/Default d 0.404 '' I2S_SPKRAMP_R_D2R/Default d 0.465 '' I2S_SPKRAMP_R_D2R_R1/Default d 0.388 '' I2S_SPKRAMP_R_D2R_R2/Default d 0.387 '' I2S_SPKRAMP_R_LRCLK/Default d 0.403 '' I2S_SPKRAMP_R_LRCLK_R/Default d 0.386 '' I2S_SPKRAMP_R_R2D/Default d 0.390 '' I2S_SPKRAMP_R_R2D_R/Default d 0.404 '' IMON_A_CPUGT/Default d 0.625 '' IMON_B_CPUCORE/Default d 0.58 '' IMON_C_CPUSA/Default d 0.626 '' IPD_LID_OPEN/Default d 0.721 '' IPD_LID_OPEN_R/Default d OL '' ISNS_ALSCAM_IOUT/Default d OL '' ISNS_ALSCAM_N/Default d OL '' ISNS_ALSCAM_P/Default d OL '' ISNS_CALPE_IOUT/Default d OL '' ISNS_CALPE_N/Default d OL '' ISNS_CALPE_P/Default d OL '' ISNS_CPUDDR_IOUT/Default d OL '' ISNS_CPUDDR_N/Default d 0.216 '' ISNS_CPUDDR_P/Default d 0.216 '' ISNS_CPUEDRAM_IOUT/Default d OL '' ISNS_CPUEDRAM_N/Default d 0.055 '' ISNS_CPUEDRAM_N/Default r 47.500R '' ISNS_CPUEDRAM_P/Default d 0.056 '' ISNS_CPUEDRAM_P/Default r 49.200R '' ISNS_CPUREG_IOUT/Default d OL '' ISNS_CPUREG_N/Default d OL '' ISNS_CPUREG_P/Default d OL '' ISNS_CPUSA_IOUT/Default d OL '' ISNS_CPUVCCIO_N/Default d 0.140 '' ISNS_CPUVCCIO_P/Default d 0.140 '' ISNS_CPUVDDQ_N/Default d OL '' ISNS_CPUVDDQ_P/Default d OL '' ISNS_DDR_IOUT/Default d 0.716 '' ISNS_HS_3V3RTC_N/Default d OL '' ISNS_HS_3V3RTC_P/Default d OL '' ISNS_HS_COMPUTING_N/Default d 0.466 '' ISNS_HS_COMPUTING_P/Default d 0.466 '' ISNS_HS_OTHER3V3_N/Default d 0.470 '' ISNS_HS_OTHER3V3_P/Default d 0.468 '' ISNS_HS_OTHER5V_N/Default d 0.470 '' ISNS_HS_OTHER5V_P/Default d 0.470 '' ISNS_KBBLT_IOUT/Default d OL '' ISNS_KBBLT_N/Default d OL '' ISNS_KBBLT_P/Default d OL '' ISNS_LCDBKLT_N/Default d 0.468 '' ISNS_LCDBKLT_P/Default d 0.468 '' ISNS_LCDPANEL_N/Default d OL '' ISNS_LCDPANEL_P/Default d OL '' ISNS_LPDDR_N/Default d OL '' ISNS_LPDDR_P/Default d OL '' ISNS_MESA_IOUT/Default d OL '' ISNS_MESA_N/Default d OL '' ISNS_MESA_P/Default d OL '' ISNS_OCARINA_N/Default d 0.398 '' ISNS_OCARINA_P/Default d 0.398 '' ISNS_PP1V8_WLANBT_IOUT/Default d OL '' ISNS_PP1V8_WLANBT_N/Default d OL '' ISNS_PP1V8_WLANBT_P/Default d OL '' ISNS_PP3V3S0_IOUT/Default d OL '' ISNS_PP3V3S0_N/Default d OL '' ISNS_PP3V3S0_P/Default d OL '' ISNS_PP3V3S4_WLAN_IOUT/Default d OL '' ISNS_PP3V3S4_WLAN_N/Default d OL '' ISNS_PP3V3S4_WLAN_P/Default d OL '' ISNS_PP3V3S4_WLAN_R_N/Default d OL '' ISNS_PP3V3S4_WLAN_R_P/Default d OL '' ISNS_PP3V3_KBD_IOUT/Default d OL '' ISNS_PP3V3_KBD_N/Default d OL '' ISNS_PP3V3_KBD_P/Default d OL '' ISNS_PP3V3_TPAD_IOUT/Default d OL '' ISNS_PP3V3_TPAD_N/Default d OL '' ISNS_PP3V3_TPAD_P/Default d OL '' ISNS_PP5V_LCD_IOUT/Default d OL '' ISNS_PP5V_TPAD_IOUT/Default d OL '' ISNS_PP5V_TPAD_N/Default d OL '' ISNS_PP5V_TPAD_P/Default d OL '' ISNS_SPKRAMPL_IOUT/Default d OL '' ISNS_SPKRAMPR_IOUT/Default d OL '' ISNS_SPKRAMP_LEFT_N/Default d OL '' ISNS_SPKRAMP_LEFT_P/Default d OL '' ISNS_SPKRAMP_RIGHT_N/Default d OL '' ISNS_SPKRAMP_RIGHT_P/Default d OL '' ISNS_SSDNAND_N/Default d 0.462 '' ISNS_SSDNAND_P/Default d 0.461 '' ISNS_TBT_IOUT/Default d OL '' ISNS_TBT_N/Default d OL '' ISNS_TBT_P/Default d OL '' ISNS_TBT_T_IOUT/Default d OL '' ISNS_TBT_T_N/Default d OL '' ISNS_TBT_T_P/Default d OL '' ISNS_WLAN_OP/Default d OL '' ITP_PMODE/Default d 0.382 '' JTAG_ISP_TCK/Default d 0.581 '' JTAG_ISP_TDI/Default d 0.581 '' JTAG_ISP_TDO/Default d 0.580 '' JTAG_TBT_T_TMS/Default d 0.605 '' JTAG_TBT_X_TMS/Default d 0.609 '' KBD_BLC_GSLAT/Default d OL '' KBD_BLC_GSSCK/Default d OL '' KBD_BLC_GSSIN/Default d OL '' KBD_BLC_GSSOUT/Default d OL '' KBD_BLC_XBLANK/Default d OL '' KBD_INT_L/Default d OL '' L83_FILT/Default d 0.800 '' L83_FLYC/Default d 0.393 '' L83_FLYN/Default d 0.749 '' L83_FLYP/Default d 0.406 '' L83_HSBIAS_FILT/Default d 0.720 '' L83_HSBIAS_FILT_REF/Default d 0.641 '' L83_SDOUT/Default d 0.487 '' L83_VCP_FILTN/Default d 0.762 '' L83_VCP_FILTP/Default d 0.395 '' L83_VCP_FILT_GND/Default d 0.000 '' LCDBKLT_EN_L/Default d OL '' LCDBKLT_FB/Default d 0.734 '' LCDBKLT_FET_DRV/Default d 0.543 '' LCDBKLT_FET_DRV_R/Default d 0.584 '' LCDBKLT_SW/Default d 0.452 '' LCDBKLT_TB_XWR/Default d 1.180 '' LCD_PWR_SLEW/Default d 0.645 '' LCD_PWR_SLEW_3V3/Default d 0.716 '' LDO_RTC/Default d 0.377 '' LID_OPEN_LEFT/Default d 0.457 '' LID_OPEN_RIGHT/Default d 0.460 '' MEMVTT_EN/Default d 0.534 '' MEMVTT_EN_R/Default d 0.534 '' MEM_A_ZQ<0>/Default d 0.245 '' MEM_A_ZQ<1>/Default d 0.245 '' MEM_A_ZQ<2>/Default d 0.246 '' MEM_A_ZQ<3>/Default d 0.245 '' MEM_B_ZQ<0>/Default d 0.246 '' MEM_B_ZQ<1>/Default d 0.245 '' MEM_B_ZQ<2>/Default d 0.245 '' MEM_B_ZQ<3>/Default d 0.245 '' MEM_VREFCA_RC/Default d 0.026 '' MEM_VREFCA_RC/Default r 25.300R '' MEM_VREFDQ_B_RC/Default d 0.027 '' MEM_VREFDQ_B_RC/Default r 25.600R '' MESA_BOOST_EN/Default d 0.559 '' MESA_BOOST_EN_CONN/Default d OL '' MESA_INT/Default d 0.469 '' MESA_INT_CONN/Default d OL '' MESA_PWR_EN/Default d 0.459 '' MIPI_DFR_CLK_FILT_CONN_N/Default d 0.777 '' MIPI_DFR_CLK_FILT_CONN_P/Default d 0.774 '' MIPI_DFR_CLK_N/Default d 0.775 '' MIPI_DFR_CLK_P/Default d 0.772 '' MIPI_DFR_DATA_FILT_CONN_N/Default d 0.776 '' MIPI_DFR_DATA_FILT_CONN_P/Default d 0.769 '' MIPI_DFR_DATA_N/Default d 0.774 '' MIPI_DFR_DATA_P/Default d 0.766 '' MIPI_FTCAM_CLK_ISOL_FILT_CONN_N/Default d 0.770 '' MIPI_FTCAM_CLK_ISOL_FILT_CONN_P/Default d 0.770 '' MIPI_FTCAM_CLK_ISOL_N/Default d 0.767 '' MIPI_FTCAM_CLK_ISOL_P/Default d 0.767 '' MIPI_FTCAM_CLK_N/Default d 0.532 '' MIPI_FTCAM_CLK_P/Default d 0.550 '' MIPI_FTCAM_DATA_ISOL_FILT_CONN_N<0>/Default d 0.764 '' MIPI_FTCAM_DATA_ISOL_FILT_CONN_P<0>/Default d 0.719 '' MIPI_FTCAM_DATA_ISOL_N<0>/Default d 0.761 '' MIPI_FTCAM_DATA_ISOL_P<0>/Default d 0.718 '' MIPI_FTCAM_DATA_N<0>/Default d 0.521 '' MIPI_FTCAM_DATA_P<0>/Default d 0.538 '' MLB_RAMCFG0/Default d 0.748 '' MLB_RAMCFG1/Default d 0.725 '' MLB_RAMCFG2/Default d 0.764 '' MLB_RAMCFG3/Default d 0.766 '' MLB_RAMCFG4/Default d 0.766 '' NC/Default d - '' NTC_A_CPUGT/Default d 0.627 '' NTC_A_CPUGT_RN/Default d 0.000 '' NTC_A_CPUGT_RP/Default d OL '' NTC_B_CPUCORE/Default d 0.58 '' NTC_B_CPUCORE_RN/Default d 0.002 '' NTC_B_CPUCORE_RP/Default d OL '' OPCE_RCOMP/Default d 0.052 '' OPCE_RCOMP/Default r 50.100R '' OPC_RCOMP/Default d 0.052 '' OPC_RCOMP/Default r 50.000R '' P0V8SLPDDR_FB/Default d 0.239 '' P0V8SLPDDR_FB_R/Default d 0.241 '' P0V8SLPDDR_SW0/Default d 0.239 '' P0V8SLPDDR_SW1/Default d 0.239 '' P0V9SLPDDR_SW0/Default d 0.086 '' P0V9SLPDDR_SW1/Default d 0.086 '' P0V9SLPDDR_SW1/Default r 85.100R '' P0V9_LX0_SSD0/Default d 0.333 '' P0V9_LX1_SSD0/Default d 0.333 '' P0V9_TBT_T_SVR_AGND/Default d 0.000 '' P0V9_TBT_T_SVR_AGND/Default r 0.300R '' P0V9_TBT_X_SVR_AGND/Default d 0.000 '' P1V1SLPDDR_RAMP/Default d 0.616 '' P1V1SLPS2R_FB/Default d 0.376 '' P1V1SLPS2R_SW0/Default d 0.372 '' P1V1SLPS2R_SW1/Default d 0.372 '' P1V1_SLPDDR_SOCFET_EN/Default d 0.571 '' P1V1_SLPDDR_SOCFET_EN_R/Default d OL '' P1V2REG_AGND/Default d 0.002 '' P1V2REG_AGND/Default r 0.400R '' P1V2REG_MODE/Default d 0.542 '' P1V2REG_TRIP/Default d 0.754 '' P1V2REG_VREF/Default d 0.647 '' P1V2REG_VREF_R/Default d OL '' P1V2S0SW_FET_EN/Default d 0.561 '' P1V2_BOOT_RC/Default d 0.636 '' P1V2_DRVH/Default d 0.712 '' P1V2_DRVH_R/Default d 0.713 '' P1V2_DRVL/Default d 0.461 '' P1V2_DRVL_R/Default d 0.463 '' P1V2_LL_SNUB/Default d OL '' P1V2_PHASE/Default d 0.216 '' P1V2_SNS/Default d 0.229 '' P1V2_SNS_R/Default d 0.218 '' P1V2_SW/Default d 0.224 '' P1V2_VBST/Default d 0.634 '' P1V2_WLANBT_VLX/Default d 0.299 '' P1V5_WLANBT_VLX/Default d 0.324 '' P1V8G3S_EN/Default d 0.566 '' P1V8G3S_SS/Default d 0.630 '' P1V8SLPS2R_SW0/Default d 0.397 '' P1V8_DFR_R/Default d OL '' P1V8_G3S_EN_R/Default d OL '' P1V8_LX0_SSD0/Default d 0.361 '' P1VPRIM_FB/Default d 0.175 '' P1VPRIM_FB_R/Default d 0.175 '' P1VPRIM_SW0/Default d 0.168 '' P1VPRIM_SW1/Default d 0.168 '' P2V7_SW1_TPS62180_SSD0/Default d 0.390 '' P2V7_SW2_TPS62180_SSD0/Default d 0.390 '' P3V3G3HRTC_FB/Default d 1.427 '' P3V3G3HRTC_FB_R/Default d 0.414 '' P3V3G3HRTC_PGOOD/Default d 0.702 '' P3V3G3HRTC_PHASE1/Default d 0.399 '' P3V3G3HRTC_PHASE2/Default d 0.399 '' P3V3G3HRTC_RA_R/Default d 0.413 '' P3V3G3HRTC_SS/Default d 0.549 '' P3V3G3H_COMP2/Default d 0.68 '' P3V3G3H_COMP2_R/Default d OL '' P3V3G3H_CSN2/Default d 0.41 '' P3V3G3H_CSP2/Default d 1290.000- '' P3V3G3H_CSP2_R/Default d 0.440 '' P3V3G3H_DRVH/Default d 0.91 '' P3V3G3H_DRVL/Default d 0.54 '' P3V3G3H_DRVL_R/Default d 0.558 '' P3V3G3H_EN_R/Default d 0.52 '' P3V3G3H_RF/Default d 0.52 '' P3V3G3H_SNUBR/Default d OL '' P3V3G3H_SW/Default d 0.41 '' P3V3G3H_TG/Default d 1.020 '' P3V3G3H_VBST/Default d 0.55 '' P3V3G3H_VBST_R/Default d 0.636 '' P3V3G3H_VFB2/Default d 0.52 '' P3V3G3H_VFB2_R/Default d 0.440 '' P3V3G3H_VFB2_RR/Default d 1.366 '' P3V3G3H_VSW/Default d 0.430 '' P3V3G3S_EN/Default d 0.572 '' P3V3G3S_SS/Default d 0.718 '' P3V3MAIN_PGOOD/Default d 0.52 '' P3V3TBT_T_RAMP/Default d 0.716 '' P3V3_G3H_RTC_DEBUG_LED_R/Default d OL '' P3V3_TBT_T_SX_R/Default d 0.570 '' P3V3_TBT_X_SX_R/Default d 0.577 '' P5VG3S_COMP1/Default d 0.68 '' P5VG3S_COMP1_R/Default d OL '' P5VG3S_CSN1/Default d 0.40 '' P5VG3S_CSP1/Default d 1007.000- '' P5VG3S_CSP1_R/Default d 0.432 '' P5VG3S_DRVH/Default d 0.91 '' P5VG3S_DRVL/Default d 0.54 '' P5VG3S_EN/Default d 0.594 '' P5VG3S_EN_R/Default d 0.52 '' P5VG3S_PGOOD/Default d 0.52 '' P5VG3S_SNUBR/Default d OL '' P5VG3S_SW/Default d 0.40 '' P5VG3S_VBST/Default d 0.56 '' P5VG3S_VBST_R/Default d 0.637 '' P5VG3S_VFB1/Default d 0.51 '' P5VG3S_VFB1_R/Default d 0.432 '' P5VG3S_VFB1_RR/Default d 0.631 '' P5VG3S_VSW/Default d 0.433 '' P5VP3V3_SKIPSEL/Default d 0.45 '' P5VP3V3_VREF2/Default d 0.45 '' P5VP3V3_VREG3/Default d 0.47 '' P5VUSBC_T_AGND/Default d 0.000 '' P5VUSBC_T_BOOT_RC/Default d 0.612 '' P5VUSBC_T_DRVH/Default d 0.988 '' P5VUSBC_T_DRVH_R/Default d 0.988 '' P5VUSBC_T_FSEL/Default d 0.559 '' P5VUSBC_T_LL/Default d 0.505 '' P5VUSBC_T_NEG/Default d 0.505 '' P5VUSBC_T_OCSET/Default d 0.558 '' P5VUSBC_T_POS/Default d 0.505 '' P5VUSBC_T_R/Default d 0.505 '' P5VUSBC_T_RTN_DIV/Default d 0.550 '' P5VUSBC_T_RTN_DIV_R/Default r 0.500R '' P5VUSBC_T_SENSE_DIV/Default d 0.552 '' P5VUSBC_T_SENSE_DIV_R/Default d 0.508 '' P5VUSBC_T_SET0/Default d 0.559 '' P5VUSBC_T_SET1/Default d 0.558 '' P5VUSBC_T_SET_R/Default d OL '' P5VUSBC_T_SREF/Default d 0.558 '' P5VUSBC_T_VBST/Default d 0.609 '' P5VUSBC_T_VO/Default d 0.557 '' P5VUSBC_X_AGND/Default d 0.000 '' P5VUSBC_X_BOOT_RC/Default d 0.609 '' P5VUSBC_X_DRVH/Default d 0.992 '' P5VUSBC_X_FSEL/Default d 0.561 '' P5VUSBC_X_LL/Default d 0.503 '' P5VUSBC_X_NEG/Default d 0.503 '' P5VUSBC_X_OCSET/Default d 0.561 '' P5VUSBC_X_PGOOD/Default d 0.561 '' P5VUSBC_X_POS/Default d 0.503 '' P5VUSBC_X_R/Default d 0.503 '' P5VUSBC_X_RTN_DIV/Default d 0.556 '' P5VUSBC_X_RTN_DIV_XW/Default d 0.002 '' P5VUSBC_X_RTN_DIV_XW/Default r 0.500R '' P5VUSBC_X_SENSE_DIV/Default d 0.554 '' P5VUSBC_X_SET0/Default d 0.561 '' P5VUSBC_X_SET1/Default d 0.560 '' P5VUSBC_X_SET_R/Default d OL '' P5VUSBC_X_SREF/Default d 0.558 '' P5VUSBC_X_VBST/Default d 0.611 '' P5VUSBC_X_VO/Default d 0.558 '' P5V_P3V3G3H_LDO_EN/Default d 0.52 '' P5V_S4SW_SNS_FET_RAMP/Default d OL '' PANEL_P3V3_EN/Default d 0.568 '' PANEL_P3V3_EN_D/Default d 0.735 '' PANEL_P5V_EN/Default d 0.570 '' PANEL_P5V_EN_D/Default d 1.020 '' PBUSVSENS_EN_L/Default d 0.497 '' PBUSVSENS_EN_L_DIV/Default d OL '' PBUS_DIVIDER/Default d 0.708 '' PBUS_DIVIDER_OUT/Default d 0.670 '' PBUS_DIVIDER_REF/Default d 0.677 '' PBUS_S0_VSENSE/Default d OL '' PBUS_S0_VSENSE_IN/Default d 0.467 '' PCH_BATLOW_L/Default d 0.822 '' PCH_CLK24M_XTALIN/Default d 0.840 '' PCH_CLK24M_XTALOUT/Default d 0.836 '' PCH_CLK24M_XTALOUT_R/Default d 0.837 '' PCH_CLKIN_XTAL/Default d 0.003 '' PCH_CLKIN_XTAL/Default r 0.400R '' PCH_DDPB_CTRLDATA/Default d 0.798 '' PCH_DDPC_CTRLDATA/Default d 0.799 '' PCH_DIFFCLK_BIASREF/Default d 0.062 '' PCH_DIFFCLK_BIASREF/Default r 60.400R '' PCH_GPP_A0_PU/Default d 0.822 '' PCH_HSIO_PWR_EN/Default d 0.818 '' PCH_INTRUDER_L/Default d 0.848 '' PCH_JTAGX/Default d 0.059 '' PCH_JTAGX/Default r 59.700R '' PCH_LAN_WAKE_L/Default d 0.824 '' PCH_OPIRCOMP/Default d 0.052 '' PCH_OPIRCOMP/Default r 50.100R '' PCH_PCIE_CLK100M_WLAN_N/Default d 0.333 '' PCH_PCIE_CLK100M_WLAN_P/Default d 0.333 '' PCH_PCIE_RCOMP_N/Default d 0.313 '' PCH_PCIE_RCOMP_P/Default d 0.314 '' PCH_PWRBTN_L/Default d 0.769 '' PCH_RTC_RESET_L/Default d 0.769 '' PCH_SOC_SYNC/Default d 0.460 '' PCH_STRP_CNV_DISABLE/Default d 0.542 '' PCH_STRP_ESPI/Default d 0.807 '' PCH_STRP_GPD7/Default d 0.821 '' PCH_STRP_JTAGODTDIS/Default d 0.811 '' PCH_STRP_NO_REBOOT/Default d 0.806 '' PCH_STRP_SPIROM_SAF/Default d 0.807 '' PCH_STRP_XTAL_24MHZ/Default d 0.806 '' PCH_SWD_SOC_CLK/Default d 0.583 '' PCH_SWD_SOC_IO/Default d 0.565 '' PCH_UART2_CTS_L/Default d 0.822 '' PCH_UART_BT_CTS_L/Default d 0.702 '' PCH_UART_BT_D2R/Default d 0.705 '' PCH_UART_BT_R2D/Default d 0.705 '' PCH_UART_BT_RTS_L/Default d 0.700 '' PCH_UART_DEBUG_D2R/Default d 0.772 '' PCH_UART_DEBUG_R2D/Default d 0.773 '' PCH_USB2_COMP/Default d 0.115 '' PCH_USB2_VBUSSENSE/Default d 0.782 '' PCH_WLAN_CLKREQ_L/Default d 0.816 '' PCH_WLAN_PERST_L/Default d 0.828 '' PCIE_CLK100M_SOC_N/Default d 0.312 '' PCIE_CLK100M_SOC_P/Default d 0.312 '' PCIE_CLK100M_SSD0_01_N/Default d 0.121 '' PCIE_CLK100M_SSD0_01_P/Default d 0.120 '' PCIE_CLK100M_SSD0_23_N/Default d 0.120 '' PCIE_CLK100M_SSD0_23_P/Default d 0.120 '' PCIE_CLK100M_TBT_T_N/Default d 0.332 '' PCIE_CLK100M_TBT_T_P/Default d 0.334 '' PCIE_CLK100M_TBT_X_N/Default d 0.335 '' PCIE_CLK100M_TBT_X_P/Default d 0.337 '' PCIE_SSD0_D2R_N<0>/Default d 0.382 '' PCIE_SSD0_D2R_P<0>/Default d 0.379 '' PCIE_TBT_T_D2R_N<0>/Default d 0.341 '' PCIE_TBT_T_D2R_P<0>/Default d 0.340 '' PCIE_TBT_X_D2R_N<0>/Default d 0.339 '' PCIE_TBT_X_D2R_P<0>/Default d 0.336 '' PCIE_WAKE_L/Default d 0.819 '' PDM_DMIC_CLK0/Default d 0.493 '' PDM_DMIC_CLK0_R/Default d 0.465 '' PDM_DMIC_CLK1/Default d 0.494 '' PDM_DMIC_CLK1_R/Default d 0.464 '' PDM_DMIC_DATA0/Default d 0.455 '' PDM_DMIC_DATA0_RR/Default d 0.470 '' PDM_DMIC_DATA0_UNSEC/Default d 0.538 '' PDM_DMIC_DATA1/Default d 0.457 '' PDM_DMIC_DATA1_RR/Default d 0.477 '' PDM_DMIC_DATA1_UNSEC/Default d 0.541 '' PHV_INT_TA_G3H/Default d 0.461 '' PHV_INT_TB_G3H/Default d 0.61 '' PHV_INT_XA_G3H/Default d 0.612 '' PHV_INT_XB_G3H/Default d 0.61 '' PLT_RST_3V3_L/Default d 0.693 '' PLT_RST_L/Default d 0.667 '' PMU_ACTIVE_READY/Default d 0.470 '' PMU_ACTIVE_READY_R/Default d OL '' PMU_CLK32K_PCH/Default d 0.812 '' PMU_CLK32K_PCH_1V0/Default d 0.865 '' PMU_CLK32K_PCH_R/Default d 0.778 '' PMU_CLK32K_SOC/Default d 0.470 '' PMU_CLK32K_SOC_R/Default d 0.501 '' PMU_COLD_RESET_L/Default d 0.459 '' PMU_CPUDDR_ISENSE/Default d 0.592 '' PMU_CPUEDRAM_ISENSE/Default d 0.594 '' PMU_CPUGT_ISENSE/Default d 0.591 '' PMU_CPUGT_VSENSE/Default d 0.592 '' PMU_CPUP5VREG_ISENSE/Default d 0.593 '' PMU_CPUSA_ISENSE/Default d 0.593 '' PMU_CPUSA_VSENSE/Default d 0.591 '' PMU_CPUVCCIO_ISENSE/Default d 0.593 '' PMU_CPU_ISENSE/Default d 0.592 '' PMU_CPU_VSENSE/Default d 0.591 '' PMU_DDR1V2_ISENSE/Default d 0.587 '' PMU_DROOP_L/Default d 0.466 '' PMU_FORCE_DFU/Default d 0.772 '' PMU_IREF/Default d 0.763 '' PMU_LCDPANEL_ISENSE/Default d 0.593 '' PMU_OCARINA_ISENSE/Default d 0.589 '' PMU_ONOFF_L/Default d 0.699 '' PMU_ONOFF_R_L_CONN/Default d 0.703 '' PMU_OTHER3V3_HI_ISENSE/Default d 0.589 '' PMU_OTHER5V_HI_ISENSE/Default d 0.590 '' PMU_PVDDMAIN_EN/Default d 0.776 '' PMU_RSLOC_RST_L/Default d 0.703 '' PMU_SSDNAND_ISENSE/Default d 0.588 '' PMU_SYS_ALIVE/Default d 0.409 '' PMU_VDD_HI/Default d 0.665 '' PMU_XTAL1/Default d 0.801 '' PMU_XTAL1_R/Default d 0.802 '' PMU_XTAL2/Default d 0.801 '' PM_MEMVTT_EN/Default d 0.391 '' PM_OPC_ZVM_L/Default d 0.588 '' PM_PCH_PWROK/Default d 0.510 '' PM_PCH_SYS_PWROK/Default d 0.583 '' PM_RSMRST_L/Default d 0.484 '' PM_SLP_S0_3V3_L/Default d 0.721 '' PM_SLP_S0_L/Default d 0.470 '' PM_SLP_S0_R_L/Default d OL '' PM_SLP_S3_L/Default d 0.579 '' PM_SLP_S4_L/Default d 0.820 '' PM_SLP_S5_L/Default d 0.821 '' PM_SLP_SUS_L/Default d 0.819 '' PM_SLP_TIEOFF/Default d 0.697 '' PM_SYSRST_L/Default d 0.820 '' PM_SYSRST_R_L/Default d 0.586 '' PM_THRMTRIP_L/Default d 0.278 '' PP0V6_S0_DDRVTT/Default d 0.151 '' PP0V6_S3_MEM_VREFCA_A/Default d 0.380 '' PP0V6_S3_MEM_VREFDQ_A/Default d 0.381 '' PP0V6_S3_MEM_VREFDQ_B/Default d 0.379 '' PP0V82_SLPDDR/Default d 0.239 '' PP0V8_SLPS2R/Default d 0.191 '' PP0V95_S0_CPUVCCIO_REG_R/Default d 0.127 '' PP0V95_S0_CPUVCCIO_REG_R/Default r 123.700 '' PP0V95_S0_CPUVCCIO_REG_R/Default t '' PP0V9_SLPDDR/Default d 0.086 '' PP0V9_SLPDDR/Default r 85.100R '' PP0V9_SLPDDR_SOC_PCIEREFBUF/Default d 0.089 '' PP0V9_SLPDDR_SOC_PCIEREFBUF/Default r 87.100R '' PP0V9_SSD0/Default d 0.333 '' PP0V9_SSD0_FB_DIS/Default d 0.325 '' PP0V9_TBT_T_LC/Default d 0.365 '' PP0V9_TBT_T_LVR/Default d 0.487 '' PP0V9_TBT_T_PCIE/Default d 0.327 '' PP0V9_TBT_T_SVR/Default d 0.322 '' PP0V9_TBT_X_LC/Default d 0.376 '' PP0V9_TBT_X_LVR/Default d 0.493 '' PP0V9_TBT_X_PCIE/Default d 0.340 '' PP0V9_TBT_X_SVR/Default d 0.353 '' PP16V0_MESA/Default d 0.654 '' PP16V0_MESA_FILT_CONN/Default d 0.651 '' PP17V0_MOJAVE_LDOIN/Default d 0.593 '' PP1V05_PRIMSW_PCH_VCCAMPHYPLL_F/Default d 0.172 '' PP1V05_PRIM_PCH_VCCAPLL_AUD_F/Default d 0.173 '' PP1V05_PRIM_PCH_VCCAXTAL_F/Default d 0.170 '' PP1V05_S5_PCH_VCCDSW/Default d 0.490 '' PP1V1_SLPDDR/Default d 0.356 '' PP1V1_SLPDDR_SOC_VDDIOPLLDDR_F/Default d 0.357 '' PP1V1_SLPDDR_SOC_XTAL_F/Default d 0.356 '' PP1V1_SLPS2R/Default d 0.372 '' PP1V1_UPC_TA_LDO_BMC/Default d 0.503 '' PP1V1_UPC_TB_LDO_BMC/Default d 0.48 '' PP1V1_UPC_XA_LDO_BMC/Default d 0.510 '' PP1V1_UPC_XB_LDO_BMC/Default d 0.48 '' PP1V24_S5_PCH_VCCDPHY/Default d 0.429 '' PP1V25_SLPS2R_SMC_AVREF/Default d 0.592 '' PP1V2_AWAKE/Default d 0.536 '' PP1V2_AWAKE_SOC_PCIEPLL_F/Default d 0.535 '' PP1V2_AWAKE_SOC_PCIEREFBUF_F/Default d 0.536 '' PP1V2_AWAKE_SOC_PLLCPU_F/Default d 0.536 '' PP1V2_AWAKE_SOC_PLLSOC_F/Default d 0.536 '' PP1V2_S0SW/Default d 0.240 '' PP1V2_S3/Default d 0.216 '' PP1V2_S3_CPUDDR/Default d 0.218 '' PP1V2_S3_REG_R/Default d 0.216 '' PP1V2_WLANBT/Default d 0.299 '' PP1V2_WLANBT_C/Default d 0.299 '' PP1V5_WLANBT/Default d 0.320 '' PP1V5_WLANBT_C/Default d 0.324 '' PP1V8_ACCEL_FILT/Default d 0.337 '' PP1V8_AWAKE/Default d 0.33 '' PP1V8_AWAKE_SOC_FMON_RC/Default d 0.399 '' PP1V8_AWAKE_SOC_TSADC_RC/Default d 0.345 '' PP1V8_CODEC/Default d 0.332 '' PP1V8_CODEC_VCP/Default d 0.332 '' PP1V8_CODEC_VCP_SEL/Default d 0.335 '' PP1V8_CODEC_VL/Default d 0.331 '' PP1V8_CODEC_VL_SEL/Default d 0.335 '' PP1V8_DFR/Default d 0.53 '+' PP1V8_DMIC/Default d 0.338 '' PP1V8_G3S/Default d 0.330 '' PP1V8_G3S_WLANBT_VDDIO/Default d 0.336 '' PP1V8_MESA/Default d 0.519 '' PP1V8_MESA_FILT_CONN/Default d 0.518 '' PP1V8_PRIM_PCH_VCCHDA_F/Default d 0.324 '' PP1V8_S0_CPUTHMSNS_TI_R/Default d 0.322 '' PP1V8_S0_TBTTHMSNS_R/Default d 0.325 '' PP1V8_S0_TBTTHMSNS_T_R/Default d 0.321 '' PP1V8_S3/Default d 0.466 '' PP1V8_S3_MEM/Default d 0.468 '' PP1V8_S5/Default d 0.327 '' PP1V8_SE_AVDD/Default d 0.325 '' PP1V8_SE_ESE/Default d 0.367 '' PP1V8_SLPS2R/Default d 0.397 '' PP1V8_SLPS2R_SOC_LPADC_RC/Default d 0.429 '' PP1V8_SLPS2R_SOC_LPOSC_RC/Default d 0.448 '' PP1V8_SSD0/Default d 0.361 '' PP1V8_SSD0_FB_DIS/Default d 0.362 '' PP1V8_UPC_TA_LDOA/Default d 0.515 '' PP1V8_UPC_TA_LDOD/Default d 0.475 '' PP1V8_UPC_TB_LDOA/Default d 0.49 '' PP1V8_UPC_TB_LDOD/Default d 0.46 '' PP1V8_UPC_XA_LDOA/Default d 0.519 '' PP1V8_UPC_XA_LDOD/Default d 0.482 '' PP1V8_UPC_XB_LDOA/Default d 0.527 '' PP1V8_UPC_XB_LDOD/Default d 0.56 '' PP1V_PRIM/Default d 0.168 '' PP1V_PRIM/Default v 1.000 '' PP1V_S0SW/Default d 0.229 '' PP1V_S3/Default d 0.238 '' PP20V_USBC_TA_VBUS/Default d 0.184 '' PP20V_USBC_TA_VBUS_F/Default d 0.184 '' PP20V_USBC_TB_VBUS/Default d 0.13 '' PP20V_USBC_TB_VBUS_F/Default d 0.182 '' PP20V_USBC_XA_VBUS/Default d 0.17 '' PP20V_USBC_XA_VBUS_F/Default d 0.188 '' PP20V_USBC_XB_VBUS/Default d 0.189 '' PP20V_USBC_XB_VBUS_F/Default d 0.186 '' PP2V5_ADC1_VREF/Default d OL '' PP2V5_ADC2_VREF/Default d OL '' PP2V7_NAND_SSD0/Default d 0.390 '' PP2V7_NAND_SSD0/Default v 2.500 '' PP3V0_MESA/Default d 0.551 '' PP3V0_MESA_FILT_CONN/Default d 0.552 '' PP3V3_AWAKE/Default d 0.650 '' PP3V3_CODEC_LDO_IN/Default d 0.397 '' PP3V3_CODEC_VP/Default d 0.398 '' PP3V3_G3H/Default d 0.430 '' PP3V3_G3HSW_DFR/Default d 0.55 '+' PP3V3_G3H_DFR/Default d 0.439 '' PP3V3_G3H_DFUMUX/Default d OL '' PP3V3_G3H_MESA_SW/Default d 0.403 '' PP3V3_G3H_PMU_VDDMAIN/Default d 0.436 '' PP3V3_G3H_PMU_VINRTC_R/Default d 0.402 '' PP3V3_G3H_RTC/Default d 0.327 '' PP3V3_G3H_RTC_ISOL/Default d 0.38 '' PP3V3_G3H_RTC_MESA/Default d 0.403 '' PP3V3_G3H_RTC_REG_R/Default d 0.399 '' PP3V3_G3H_SSD0/Default d 0.398 '' PP3V3_G3S/Default d 0.297 '' PP3V3_G3S_KBD/Default d 0.297 '' PP3V3_G3S_TPAD/Default d 0.298 '' PP3V3_G3S_WLANBT/Default d 0.300 '' PP3V3_S0SW_LCD/Default d 0.548 '' PP3V3_S0SW_LCD_R/Default d 0.548 '' PP3V3_S0_TBT_T_ISNS_R/Default d 0.530 '' PP3V3_S0_TBT_X_ISNS_R/Default d 0.542 '' PP3V3_S4SW_SNS/Default d 0.515 '' PP3V3_S5/Default d 0.300 '' PP3V3_TBT_T_ANA/Default d 0.519 '' PP3V3_TBT_T_ANA_PCIE/Default d 0.500 '' PP3V3_TBT_T_ANA_USB2/Default d 0.507 '' PP3V3_TBT_T_F/Default d 0.511 '' PP3V3_TBT_T_LC/Default d 0.556 '' PP3V3_TBT_T_S0/Default d 0.536 '' PP3V3_TBT_T_SX/Default d 0.510 '' PP3V3_TBT_X_ANA/Default d 0.544 '' PP3V3_TBT_X_ANA_PCIE/Default d 0.521 '' PP3V3_TBT_X_ANA_USB2/Default d 0.523 '' PP3V3_TBT_X_F/Default d 0.543 '' PP3V3_TBT_X_LC/Default d 0.577 '' PP3V3_TBT_X_S0/Default d 0.543 '' PP3V3_TBT_X_SX/Default d 0.546 '' PP3V3_UPC_TA_LDO/Default d 0.489 '' PP3V3_UPC_TB_LDO/Default d 0.49 '' PP3V3_UPC_XA_LDO/Default v 3.300 '' PP3V3_UPC_XB_LDO/Default d 0.49 '' PP3V_G3H_RTC/Default d 0.447 '' PP4V7_SE_TVDD/Default d 0.363 '' PP5V_COREVR_VCC/Default d 0.431 '' PP5V_EADC1_AVDD/Default d OL '' PP5V_EADC2_AVDD/Default d OL '' PP5V_EDRAM_V5IN/Default d 0.428 '' PP5V_G3S/Default d 0.433 '' PP5V_G3S/Default t 119 '' PP5V_G3S_ALSCAM/Default d 0.431 '' PP5V_G3S_ALSCAM_F/Default d 0.431 '' PP5V_G3S_CPUREG/Default d 0.430 '' PP5V_G3S_DFR_FILT/Default d 0.41 '+' PP5V_G3S_EDRAMPVCC/Default d 0.434 '' PP5V_G3S_EDRAMVCC/Default d 0.434 '' PP5V_G3S_FAN_CONN/Default d 0.428 '' PP5V_G3S_KBD/Default d 0.427 '' PP5V_G3S_TPAD/Default d 0.428 '' PP5V_G3S_TPAD_CONN/Default d 0.428 '' PP5V_G3S_VCCIOPVCC/Default d 0.431 '' PP5V_G3S_VCCIOVCC/Default d 0.432 '' PP5V_MAIN_VCCSA/Default d 0.432 '' PP5V_MAIN_VCORE1/Default d 0.433 '' PP5V_MAIN_VCORE2/Default d 0.433 '' PP5V_MAIN_VGT1/Default d 0.431 '' PP5V_MAIN_VGT2/Default d 0.430 '' PP5V_MAIN_VGT3/Default d 0.432 '' PP5V_S0SW_LCD/Default d 0.570 '' PP5V_S0SW_LCD_ISNS_R/Default d 0.570 '' PP5V_S0_BKLT_A/Default d 0.433 '' PP5V_S0_BKLT_D/Default d 0.433 '' PP5V_S4SW/Default d OL '' PP5V_S4SW_ISNS/Default d OL '' PP5V_S4_T_USBC/Default d 0.505 '' PP5V_S4_WLAN_ISNS_D/Default d OL '' PP5V_S4_X_USBC/Default d 0.503 '' PP5V_S5_LDO/Default d 0.45 '' PP5V_USBC_T_PVCC/Default d 0.430 '' PP5V_USBC_T_VCC/Default d 0.430 '' PP5V_USBC_X_PVCC/Default d 0.435 '' PP5V_USBC_X_VCC/Default d 0.435 '' PPBUS_G3H/Default d 0.468 '' PPBUS_G3H_SPKRAMP_LEFT/Default d 0.469 '' PPBUS_G3H_SPKRAMP_RIGHT/Default d 0.38 '+' PPBUS_G3H_SSD0/Default d 0.460 '' PPBUS_HS_CPU/Default d 0.466 '' PPDCIN_G3H/Default d 0.558 '' PPDCIN_G3H_CHGR/Default d 0.558 '' PPDCPRTC_PCH/Default d 0.680 '' PPVBAT_G3H_CHGR_R/Default d 0.467 '' PPVBAT_G3H_CHGR_REG/Default d 0.467 '' PPVBAT_G3H_CONN/Default d 1.566 '' PPVCCEDRAM_S0_CPU/Default d 0.066 '' PPVCCEDRAM_S0_CPU/Default r 37.100R '' PPVCCEDRAM_S0_REG_R/Default d 0.035 '' PPVCCEDRAM_S0_REG_R/Default r 34.300R '' PPVCCEDRAM_S0_REG_R/Default t 77.4K%FF%FF+PPBUS_G3H '' PPVCCGT_CPU_PH1/Default d 0.005 '' PPVCCGT_CPU_PH1/Default r 4.600R '' PPVCCGT_CPU_PH1/Default t '' PPVCCGT_CPU_PH2/Default d 0.005 '' PPVCCGT_CPU_PH2/Default r 4.600R '' PPVCCGT_CPU_PH2/Default t '' PPVCCGT_CPU_PH3/Default d 0.005 '' PPVCCGT_CPU_PH3/Default r 4.600R '' PPVCCGT_CPU_PH3/Default t '' PPVCCGT_S0_CPU/Default d 0.005 '' PPVCCGT_S0_CPU/Default r 4.600R '' PPVCCIO_S0_CPU/Default d 0.127 '' PPVCCIO_S0_CPU/Default r 123.700 '' PPVCCSA_CPU_R/Default d 0.024 '' PPVCCSA_CPU_R/Default r 22.100R '' PPVCCSA_CPU_R/Default t '' PPVCCSA_S0_CPU/Default d 0.024 '' PPVCCSA_S0_CPU/Default r 22.100R '' PPVCC_CPU_PH1/Default d 0.005 '' PPVCC_CPU_PH1/Default r 4.400R '' PPVCC_CPU_PH1/Default t '' PPVCC_CPU_PH2/Default d 0.005 '' PPVCC_CPU_PH2/Default r 4.400R '' PPVCC_CPU_PH2/Default t '' PPVCC_S0_CPU/Default d 0.005 '' PPVCC_S0_CPU/Default r 4.400R '' PPVDDCPUSRAM_AWAKE/Default d 0.490 '' PPVDDCPU_AWAKE/Default d 0.027 '' PPVDDCPU_AWAKE/Default r 26.500R '' PPVIN_G3H_P3V3G3H/Default d 0.44 '' PPVIN_G3H_P3V3G3HRTC/Default d 0.460 '' PPVIN_G3H_P3V3G3HRTC_R/Default d 0.462 '' PPVIN_G3H_P5VG3S/Default d 0.470 '' PPVIN_RFLDO_WLANBT/Default d 0.328 '' PPVIN_RFLDO_WLANBT_C/Default d 0.330 '' PPVIN_S0SW_LCDBKLT/Default d 0.827 '' PPVIN_S0SW_LCDBKLT_F/Default d 0.468 '' PPVIN_S0SW_LCDBKLT_R/Default d 0.468 '' PPVIN_S0_CPUVR_VIN/Default d 0.473 '' PPVIN_S4_TPAD_FUSE/Default d 0.467 '' PPVIN_SW_LCDBKLT_SW/Default d 0.827 '' PPVOUT_S0_LCDBKLT/Default d 1.183 '' PPVPCORE_S5/Default d 0.277 '' PPVPCORE_S5/Default v 1.000 '' PPVTT_VTTREF/Default d 0.497 '' PROC_POPIRCOMP/Default d 0.052 '' PROC_POPIRCOMP/Default r 50.000R '' PROG1_CPUCOREVR/Default d 0.619 '' PROG2_CPUCOREVR/Default d 0.617 '' PROG3_CPUCOREVR/Default d 0.624 '' PROG4_CPUCOREVR/Default d 0.626 '' PROG5_CPUCOREVR/Default d 0.625 '' PVCCCGT_PH1_AGND/Default d 0.002 '' PVCCCGT_PH1_AGND/Default r 2.400R '' PVCCCGT_PH2_AGND/Default d 0.002 '' PVCCCGT_PH2_AGND/Default r 3.100R '' PVCCCGT_PH3_AGND/Default d 0.003 '' PVCCCGT_PH3_AGND/Default r 2.700R '' PVCCCORE_PH1_AGND/Default d 0.003 '' PVCCCORE_PH2_AGND/Default d 0.000 '' PVCCCORE_PH2_AGND/Default r 0.500R '' PVCCCSA_AGND/Default d 0.003 '' PVCCEDRAMS0_AGND/Default d 0.002 '' PVCCEDRAMS0_AGND/Default r 2.100R '' PVCCEDRAMS0_CS_N/Default d 0.038 '' PVCCEDRAMS0_CS_N/Default r 41.500R '' PVCCEDRAMS0_CS_P/Default d 0.065 '' PVCCEDRAMS0_CS_P/Default r 41.600R '' PVCCEDRAMS0_EN/Default d 0.778 '' PVCCEDRAMS0_EN_FILT/Default d 0.495 '' PVCCEDRAMS0_EN_FILT_BUF/Default d 0.530 '' PVCCEDRAMS0_EN_R/Default d 0.516 '' PVCCEDRAMS0_FB/Default d 0.552 '' PVCCEDRAMS0_FSEL/Default d 0.567 '' PVCCEDRAMS0_OCSET/Default d 0.559 '' PVCCEDRAMS0_RTN/Default d 0.555 '' PVCCEDRAMS0_SREF/Default d 0.564 '' PVCCEDRAMS0_VO/Default d 0.560 '' PVCCEDRAM_BOOT_RC/Default d 0.575 '' PVCCEDRAM_DRVH/Default d 0.608 '' PVCCEDRAM_DRVH_R/Default d 0.609 '' PVCCEDRAM_DRVL/Default d 0.471 '' PVCCEDRAM_DRVL_R/Default d 0.473 '' PVCCEDRAM_LL/Default d 0.041 '' PVCCEDRAM_LL_SNUB/Default d OL '' PVCCEDRAM_PHASE/Default d 0.035 '' PVCCEDRAM_PHASE/Default r 34.300R '' PVCCEDRAM_PHASE/Default t 77.4K%FF%FF+PPBUS_G3H '' PVCCEDRAM_REFIN/Default d 0.701 '' PVCCEDRAM_VBST/Default d 0.571 '' PVCCEOPIO_EDRAM_PGOOD/Default d 0.521 '' PVCCIOS0_AGND/Default d 0.001 '' PVCCIOS0_CS_N/Default d 0.127 '' PVCCIOS0_CS_N/Default r 123.700 '' PVCCIOS0_CS_P/Default d 0.127 '' PVCCIOS0_CS_P/Default r 123.700 '' PVCCIOS0_EN/Default d 0.777 '' PVCCIOS0_EN_FILT/Default d 0.498 '' PVCCIOS0_EN_FILT_BUF/Default d 0.535 '' PVCCIOS0_EN_R/Default d 0.517 '' PVCCIOS0_FB/Default d 0.556 '' PVCCIOS0_FSEL/Default d 0.570 '' PVCCIOS0_RTN/Default d 0.554 '' PVCCIOS0_SREF/Default d 0.566 '' PVCCIOS0_VO/Default d 0.563 '' PVCCIO_BOOT_RC/Default d 0.591 '' PVCCIO_DRVH/Default d 0.583 '' PVCCIO_DRVH_R/Default d 0.583 '' PVCCIO_DRVL/Default d 0.472 '' PVCCIO_DRVL_R/Default d 0.473 '' PVCCIO_EN/Default d 0.762 '' PVCCIO_LL/Default d 0.131 '' PVCCIO_LL_SNUB/Default d OL '' PVCCIO_PGOOD/Default d 0.563 '' PVCCIO_PHASE/Default d 0.127 '' PVCCIO_PHASE/Default r 123.700 '' PVCCIO_PHASE/Default t '' PVCCIO_VBST/Default d 0.590 '' PVCCOIOS0_OCSET/Default d 0.563 '' PVCCPCOREPRIM_FB_N/Default d 0.001 '' PVCCPCOREPRIM_FB_N/Default r 1.000R '' PVCCPCOREPRIM_FB_P/Default d 0.275 '' PVCCPCOREPRIM_SW0/Default d 0.277 '' PVCCPCOREPRIM_SW1/Default d 0.277 '' PVCCPLLOC_EN/Default d 0.764 '' PVCC_FB_N/Default d 0.000 '' PVCC_FB_N/Default r 0.800R '' PVCC_FB_P/Default d 0.277 '' PVDDCPUAWAKE_FB/Default d 0.025 '' PVDDCPUAWAKE_FB/Default r 25.100R '' PVDDCPUAWAKE_FB_R/Default d 0.026 '' PVDDCPUAWAKE_FB_R/Default r 25.100R '' PVDDCPUAWAKE_SW0/Default d 0.027 '' PVDDCPUAWAKE_SW0/Default r 26.500R '' PVDDCPUAWAKE_SW1/Default d 0.027 '' PVDDCPUAWAKE_SW1/Default r 26.500R '' PVDDCPUAWAKE_SW2/Default d 0.027 '' PVDDCPUAWAKE_SW2/Default r 26.500R '' PVDDCPUAWAKE_SW3/Default d 0.027 '' PVDDCPUAWAKE_SW3/Default r 26.500R '' PVDDCPUSRAMAWAKE_FB/Default d 0.482 '' PVDDCPUSRAMAWAKE_SW0/Default d 0.490 '' PVDDQ_EN/Default d 0.559 '' PVDDQ_EN_LED/Default d OL '' PVDDQ_EN_R/Default d 0.558 '' PVDDQ_PGOOD/Default d 0.568 '' PVIN_RFLDO_WLANBT_VLX/Default d 0.330 '' PVTT_VTTSNS/Default d 0.153 '' RIO_TBTTHMSNS_D1_N/Default d 0.002 '' RIO_TBTTHMSNS_D1_N/Default r 0.700R '' RIO_TBTTHMSNS_D1_P/Default d 0.662 '' RTN_B_CPUCORE/Default d 0.00 '' SAVE_BAT_G/Default d 0.775 '' SAVE_BAT_S/Default d 1.567 '' SAVE_TA_CC1_B/Default d OL '' SAVE_TA_CC1_G/Default d 0.796 '' SAVE_TA_CC1_Z/Default d OL '' SAVE_TA_CC2_B/Default d OL '' SAVE_TA_CC2_G/Default d 0.794 '' SAVE_TA_CC2_Z/Default d OL '' SAVE_TB_CC1_B/Default d OL '' SAVE_TB_CC1_G/Default d 0.818 '' SAVE_TB_CC1_Z/Default d OL '' SAVE_TB_CC2_B/Default d OL '' SAVE_TB_CC2_G/Default d 0.818 '' SAVE_TB_CC2_Z/Default d OL '' SAVE_XA_CC1_B/Default d OL '' SAVE_XA_CC1_G/Default d 0.829 '' SAVE_XA_CC1_Z/Default d OL '' SAVE_XA_CC2_B/Default d OL '' SAVE_XA_CC2_G/Default d 0.834 '' SAVE_XA_CC2_Z/Default d OL '' SAVE_XB_CC1_B/Default d OL '' SAVE_XB_CC1_G/Default d 0.839 '' SAVE_XB_CC1_Z/Default d OL '' SAVE_XB_CC2_B/Default d OL '' SAVE_XB_CC2_G/Default d 0.843 '' SAVE_XB_CC2_Z/Default d OL '' SA_ISUMN_R/Default d 1.030 '' SD_RCOMP/Default d 0.202 '' SENSOR_PWR_EN/Default d 0.722 '' SEP_CAM_DISABLE_DFF_L/Default d 0.712 '' SEP_CAM_DISABLE_L/Default d 0.461 '' SEP_DISABLE_STROBE/Default d 0.461 '' SEP_DMIC_DISABLE_L/Default d 0.461 '' SEP_DMIC_DISABLE_OUT_L/Default d 0.491 '' SEP_SEL/Default d 0.711 '' SEP_WP/Default d 0.71 '' SE_CTLR_FW_DWLD/Default d 0.461 '' SE_DEV_WAKE/Default d 0.461 '' SE_PWR_EN/Default d 0.742 '' SMBUS_2_OE/Default d OL '' SMBUS_3V3_BATT_SCL/Default d 1.006 '' SMBUS_3V3_BATT_SDA/Default d 1.000 '' SMBUS_PCH_CLK/Default d 0.802 '' SMBUS_PCH_DATA/Default d 0.793 '' SMCRST_TIEOFF/Default d 0.703 '' SMC_BMON_ISENSE/Default d 0.814 '' SMC_CALPE_ISENSE/Default d 0.815 '' SMC_CPU_HS_ISENSE/Default d 0.812 '' SMC_DCIN_ISENSE/Default d 0.812 '' SMC_DCIN_VSENSE/Default d 0.809 '' SMC_DEBUGPRT_RX/Default d 0.478 '' SMC_DEBUGPRT_TX/Default d 0.476 '' SMC_FAN_0_PWM/Default d 0.468 '' SMC_FAN_0_TACH/Default d 0.467 '' SMC_FAN_1_PWM/Default d 0.467 '' SMC_LID_LEFT/Default d 0.640 '' SMC_LID_RIGHT/Default d 0.649 '' SMC_PBUS_VSENSE/Default d 0.809 '' SMC_PCH_PWROK/Default d 0.449 '' SMC_PCH_SYS_PWROK/Default d 0.448 '' SMC_PECI_RX/Default d 0.462 '' SMC_PECI_TX/Default d 0.458 '' SMC_PECI_TX_R/Default d 0.458 '' SMC_PP1V8_WLANBT_ISENSE/Default d 0.816 '' SMC_PP3V3_WLANBT_ISENSE/Default d 0.815 '' SMC_PROCHOT_L/Default d 0.453 '' SMC_RSMRST_L/Default d 0.446 '' SMC_SYSRST_L/Default d 0.448 '' SML_PCH_0_CLK/Default d 0.804 '' SML_PCH_0_DATA/Default d 0.800 '' SOC_AMBER_R/Default d OL '' SOC_BLUE_AWAKE/Default d OL '' SOC_BLUE_R/Default d OL '' SOC_CLKREQ_L/Default d 0.813 '' SOC_CLKREQ_R_L/Default d 0.462 '' SOC_COLD_RESET_L/Default d 0.463 '' SOC_DDR0_RREF/Default d 0.540 '' SOC_DDR0_ZQ/Default d 0.483 '' SOC_DDR1_RREF/Default d 0.533 '' SOC_DDR2_RREF/Default d 0.530 '' SOC_DDR3_RREF/Default d 0.532 '' SOC_DDR3_ZQ/Default d 0.602 '' SOC_DFU_STATUS/Default d 0.469 '' SOC_DOCK_CONNECT/Default d 0.473 '' SOC_FORCE_DFU/Default d 0.469 '' SOC_GREEN_DDR/Default d OL '' SOC_GREEN_R/Default d OL '' SOC_HOLD_RESET/Default d 0.460 '' SOC_JTAG_SEL/Default d 0.458 '' SOC_MIPI0C_REXT/Default d 0.816 '' SOC_MIPI1C_REXT/Default d 0.816 '' SOC_MIPID_REXT/Default d 0.816 '' SOC_PCIE_DN_REXT/Default d 0.785 '' SOC_PCIE_STG0_REXT/Default d 0.785 '' SOC_PCIE_STG1_REXT/Default d 0.785 '' SOC_PCIE_UP_REXT/Default d 0.786 '' SOC_PERST_L/Default d 0.463 '' SOC_RED_R/Default d OL '' SOC_RED_SLPS2R/Default d OL '' SOC_SOCHOT_L/Default d 0.460 '' SOC_SWD_MUX_SEL_PCH/Default d 0.584 '' SOC_TESTMODE/Default d 0.461 '' SOC_USB_REXT/Default d 0.201 '' SOC_USB_VBUS/Default d 0.685 '' SOC_VDDCPU_SENSE/Default d 0.034 '' SOC_VDDCPU_SENSE/Default r 31.300R '' SOC_WDOG/Default d 0.495 '' SOC_XTAL24M_IN/Default d 0.818 '' SOC_XTAL24M_OUT/Default d 0.806 '' SOC_XTAL24M_OUT_R/Default d 0.806 '' SPIROM_USE_MLB/Default d 0.822 '' SPI_ACCEL_CS_L/Default d 0.470 '' SPI_AOP_SENSOR_CLK/Default d 0.489 '' SPI_AOP_SENSOR_CLK_R/Default d 0.464 '' SPI_AOP_SENSOR_MISO/Default d 0.473 '' SPI_AOP_SENSOR_MISO_R/Default d 0.492 '' SPI_AOP_SENSOR_MOSI/Default d 0.493 '' SPI_AOP_SENSOR_MOSI_R/Default d 0.466 '' SPI_DFR_CLK/Default d 0.51 '+' SPI_DFR_CLK_R/Default d 0.463 '' SPI_DFR_CS_L/Default d 0.50 '+' SPI_DFR_MISO/Default d 0.474 '' SPI_DFR_MISO_R/Default d 0.51 '+' SPI_DFR_MOSI/Default d 0.51 '+' SPI_DFR_MOSI_R/Default d 0.463 '' SPI_IO2_STRAP_L/Default d 0.594 '' SPI_MESA_CLK/Default d 0.483 '' SPI_MESA_CLK_CONN/Default d 0.540 '' SPI_MESA_CLK_R/Default d 0.463 '' SPI_MESA_MISO/Default d 0.468 '' SPI_MESA_MISO_CONN/Default d 0.466 '' SPI_MESA_MOSI/Default d 0.483 '' SPI_MESA_MOSI_CONN/Default d 0.487 '' SPI_MESA_MOSI_R/Default d 0.463 '' SPI_PCHROM_IO<2>/Default d 0.802 '' SPI_PCHROM_IO<3>/Default d 0.813 '' SPI_PCHROM_MOSI/Default d 0.820 '' SPI_SOCROM_CLK/Default d 0.479 '' SPI_SOCROM_CLK_R/Default d - '' SPI_SOCROM_CS_L/Default d 0.465 '' SPI_SOCROM_MISO/Default d 0.433 '' SPI_SOCROM_MISO_R/Default d 0.430 '' SPI_SOCROM_MOSI/Default d 0.421 '' SPI_SOCROM_MOSI_R/Default d 0.429 '' SPI_SOCROM_WP_L/Default d 0.614 '' SPI_TPAD_3V3_CLK/Default d 0.471 '' SPI_TPAD_3V3_CLK_R/Default d 0.451 '' SPI_TPAD_3V3_CS_L/Default d 0.458 '' SPI_TPAD_3V3_MISO/Default d 0.448 '' SPI_TPAD_3V3_MOSI/Default d 0.479 '' SPI_TPAD_3V3_MOSI_R/Default d 0.462 '' SPI_TPAD_CLK/Default d 0.428 '' SPI_TPAD_CLK_R/Default d 0.428 '' SPI_TPAD_CS_L/Default d 0.434 '' SPI_TPAD_MISO/Default d 0.429 '' SPI_TPAD_MISO_R/Default d 0.426 '' SPI_TPAD_MOSI/Default d 0.440 '' SPI_TPAD_MOSI_R/Default d 0.442 '' SPKRAMP_INT_L/Default d 0.372 '' SPKRAMP_LT_AREG/Default d 0.585 '' SPKRAMP_LT_BSTN/Default d 0.778 '' SPKRAMP_LT_BSTP/Default d 0.777 '' SPKRAMP_LT_DREG/Default d 0.537 '' SPKRAMP_LT_MODE/Default d 0.003 '' SPKRAMP_LT_MODE/Default r 1.000R '' SPKRAMP_LT_OUTN/Default d 0.498 '' SPKRAMP_LT_OUTP/Default d 0.498 '' SPKRAMP_LW_AREG/Default d 0.584 '' SPKRAMP_LW_BSTN/Default d 0.778 '' SPKRAMP_LW_BSTP/Default d 0.777 '' SPKRAMP_LW_DREG/Default d 0.538 '' SPKRAMP_LW_MODE/Default d 0.414 '' SPKRAMP_LW_OUTN/Default d 0.498 '' SPKRAMP_LW_OUTP/Default d 0.497 '' SPKRAMP_RESET_L/Default d 0.375 '' SPKRAMP_RT_AREG/Default d 0.585 '' SPKRAMP_RT_BSTN/Default d 0.777 '' SPKRAMP_RT_BSTP/Default d 0.777 '' SPKRAMP_RT_DREG/Default d 0.541 '' SPKRAMP_RT_MODE/Default d 0.463 '' SPKRAMP_RT_OUTN/Default d 0.491 '' SPKRAMP_RT_OUTP/Default d 0.492 '' SPKRAMP_RT_SNSN/Default d 0.491 '' SPKRAMP_RT_SNSP/Default d 0.492 '' SPKRAMP_RW_AREG/Default d 0.583 '' SPKRAMP_RW_BSTN/Default d 0.777 '' SPKRAMP_RW_BSTP/Default d 0.776 '' SPKRAMP_RW_DREG/Default d 0.541 '' SPKRAMP_RW_MODE/Default d 0.467 '' SPKRAMP_RW_OUTN/Default d 0.492 '' SPKRAMP_RW_OUTP/Default d 0.494 '' SPKRCONN_LT_OUTN/Default d 0.498 '' SPKRCONN_LT_OUTP/Default d 0.498 '' SPKRCONN_LW_OUTN/Default d 0.498 '' SPKRCONN_LW_OUTP/Default d 0.497 '' SPKRCONN_RT_OUTN/Default d 0.491 '' SPKRCONN_RT_OUTP/Default d 0.492 '' SPKRCONN_RW_OUTN/Default d 0.492 '' SPKRCONN_RW_OUTP/Default d 0.494 '' SPKR_ID0/Default d 0.467 '' SPKR_ID1/Default d 0.462 '' SPMI_CLK/Default d 0.484 '' SPMI_CLK_R/Default d 0.465 '' SPMI_DATA/Default d 0.436 '' SPMI_DATA_R/Default d 0.433 '' SPROM_CS/Default d 0.495 '' SPROM_CS_R/Default d 0.579 '' SSD0_CLK24M/Default d 0.442 '' SSD0_CLK24M_01/Default d 0.449 '' SSD0_CLK24M_23/Default d 0.447 '' SSD0_CLK24M_R/Default d 0.443 '' SSD0_CLKREQ0_L/Default d 0.440 '' SSD0_CLKREQ1_L/Default d 0.447 '' SSD0_CLKREQ2_L/Default d 0.439 '' SSD0_CLKREQ3_L/Default d 0.452 '' SSD0_OCARINA_FORCE_EN/Default d 0.580 '' SSD0_OCARINA_IREF/Default d 0.756 '' SSD0_OCARINA_LPB_L/Default d 0.437 '' SSD0_OCARINA_PFN/Default d 0.438 '' SSD0_OCARINA_PGOOD/Default d 0.766 '' SSD0_OCARINA_POK2/Default d 0.000 '' SSD0_OCARINA_RESET_L/Default d 0.437 '' SSD0_OCARINA_TCAL/Default d 0.777 '' SSD0_OCARINA_TDEV1/Default d 0.777 '' SSD0_OCARINA_TDEV2/Default d 0.773 '' SSD0_OCARINA_VDD_LDO/Default d 0.373 '' SSD0_OCARINA_VREF/Default d 0.768 '' SSD0_OCARINA_WP_L/Default d 0.533 '' SSD0_PCIE_RESET_L/Default d 0.423 '' SSD0_S4E0_AVDD18_PLL/Default d 0.369 '' SSD0_S4E0_DROOP_L/Default d 0.494 '' SSD0_S4E0_JTAG_TDI/Default d 0.487 '' SSD0_S4E0_JTAG_TDO/Default d 0.467 '' SSD0_S4E0_PCIE_RESREF/Default d 0.789 '' SSD0_S4E0_PCI_AVDD_H/Default d 0.369 '' SSD0_S4E0_SWD_UID0/Default d 0.496 '' SSD0_S4E0_SWD_UID1/Default d 0.484 '' SSD0_S4E0_UART_TX/Default d 0.485 '' SSD0_S4E0_VPP/Default d 0.570 '' SSD0_S4E0_ZQ_C/Default d 0.102 '' SSD0_S4E0_ZQ_L/Default d 0.304 '' SSD0_S4E1_AVDD18_PLL/Default d 0.366 '' SSD0_S4E1_DROOP_L/Default d 0.506 '' SSD0_S4E1_JTAG_TDO/Default d 0.459 '' SSD0_S4E1_PCIE_RESREF/Default d 0.785 '' SSD0_S4E1_PCI_AVDD_H/Default d 0.366 '' SSD0_S4E1_SWD_UID0/Default d 0.494 '' SSD0_S4E1_SWD_UID1/Default d 0.495 '' SSD0_S4E1_UART_TX/Default d 0.495 '' SSD0_S4E1_VPP/Default d 0.566 '' SSD0_S4E1_ZQ_C/Default d 0.102 '' SSD0_S4E1_ZQ_L/Default d 0.303 '' SSD0_S4E2_AVDD18_PLL/Default d 0.369 '' SSD0_S4E2_DROOP_L/Default d 0.480 '' SSD0_S4E2_JTAG_TDO/Default d 0.464 '' SSD0_S4E2_PCIE_RESREF/Default d 0.789 '' SSD0_S4E2_PCI_AVDD_H/Default d 0.369 '' SSD0_S4E2_SWD_UID0/Default d 0.474 '' SSD0_S4E2_SWD_UID1/Default d 0.475 '' SSD0_S4E2_UART_TX/Default d 0.475 '' SSD0_S4E2_VPP/Default d 0.570 '' SSD0_S4E2_ZQ_C/Default d 0.102 '' SSD0_S4E2_ZQ_L/Default d 0.303 '' SSD0_S4E3_AVDD18_PLL/Default d 0.367 '' SSD0_S4E3_DROOP_L/Default d 0.523 '' SSD0_S4E3_JTAG_TDO/Default d 0.519 '' SSD0_S4E3_PCIE_RESREF/Default d 0.789 '' SSD0_S4E3_PCI_AVDD_H/Default d 0.367 '' SSD0_S4E3_SWD_UID0/Default d 0.516 '' SSD0_S4E3_SWD_UID1/Default d 0.515 '' SSD0_S4E3_UART_TX/Default d 0.513 '' SSD0_S4E3_VPP/Default d 0.568 '' SSD0_S4E3_ZQ_C/Default d 0.102 '' SSD0_S4E3_ZQ_L/Default d 0.304 '' SSD0_S4E_BOOT2/Default d 0.441 '' SSD0_S4E_JTAG_SEL/Default d 0.437 '' SSD0_S4E_JTAG_TRST_L/Default d 0.437 '' SSD0_S4E_UART_TX/Default d 0.485 '' SSD0_STG01_ADDR/Default d 0.584 '' SSD0_SWCLK/Default d 0.427 '' SSD0_SWDIO/Default d 0.423 '' SSD0_TPS62180_FB/Default d 1.427 '' SSD0_TPS62180_FB_R/Default d 0.409 '' SSD0_TPS62180_SS/Default d 0.550 '' SSD0_VR_P2V7_EN/Default d 0.653 '' SSD0_VR_P2V7_EN_R/Default d 0.654 '' SSD0_VR_P2V7_PGOOD/Default d 0.574 '' SSD_BFH/Default d 0.425 '' SSD_PMU_RESET_L/Default d 0.463 '' SSTATE_BLUE/Default d OL '' SSTATE_BLUE_R/Default d OL '' SSTATE_GREEN/Default d OL '' SSTATE_GREEN_R/Default d OL '' SSTATE_RED/Default d OL '' SSTATE_RED_R/Default d OL '' SWD_DEBUG_SWCLK/Default d 0.498 '' SWD_DEBUG_SWDIO/Default d 0.497 '' SWD_SOC_SWCLK/Default d 0.467 '' SWD_SOC_SWDIO/Default d 0.462 '' SYS_DETECT/Default d OL '' SYS_DETECT_L/Default d 0.589 '' TBTTHMSNS_D1_N/Default d 0.000 '' TBTTHMSNS_D1_P/Default d 0.658 '' TBT_POC_RESET/Default d 0.575 '' TBT_PWR_EN/Default d 0.750 '' TBT_TA_LSRX/Default d 0.752 '' TBT_TA_LSTX/Default d OL '' TBT_TA_USB2_MXCTL/Default d 0.615 '' TBT_TA_USB2_RBIAS/Default d 0.203 '' TBT_TB_LSRX/Default d 0.786 '' TBT_TB_LSTX/Default d 0.814 '' TBT_TB_USB2_RBIAS/Default d 0.202 '' TBT_T_BATLOW_L/Default d 0.615 '' TBT_T_CIO_PWR_EN/Default d 0.501 '' TBT_T_CLKREQ_L/Default d 0.808 '' TBT_T_DPMUX_SEL/Default d 0.552 '' TBT_T_DPMUX_SEL_R/Default d 0.669 '' TBT_T_PCIE_BIAS/Default d 0.790 '' TBT_T_PCI_RESET_L/Default d 0.498 '' TBT_T_PLUG_EVENT_L/Default d 0.547 '' TBT_T_PWR_EN/Default d 0.569 '' TBT_T_RBIAS/Default d 0.783 '' TBT_T_ROM_HOLD_L/Default d 0.711 '' TBT_T_ROM_WP_L/Default d 0.607 '' TBT_T_RSENSE/Default d 0.030 '' TBT_T_RSENSE/Default r 0.400R '' TBT_T_SPI_CLK/Default d 0.582 '' TBT_T_SPI_CLK_DBG/Default d 0.608 '' TBT_T_SPI_CS_L/Default d 0.614 '' TBT_T_SPI_MISO/Default d 0.617 '' TBT_T_SPI_MOSI/Default d 0.619 '' TBT_T_TMU_CLK_IN/Default d 0.617 '' TBT_T_TMU_CLK_OUT/Default d 0.618 '' TBT_T_USB_PWR_EN/Default d 0.496 '' TBT_WAKE_3V3_L/Default d 0.527 '' TBT_WAKE_L/Default d 0.466 '' TBT_XA_LSRX/Default d 0.778 '' TBT_XA_LSTX/Default d 0.815 '' TBT_XA_USB2_MXCTL/Default d 0.623 '' TBT_XA_USB2_RBIAS/Default d 0.203 '' TBT_XB_LSRX/Default d 0.72 '' TBT_XB_LSTX/Default d 0.78 '' TBT_XB_USB2_RBIAS/Default d 0.204 '' TBT_X_CIO_PWR_EN/Default d 0.569 '' TBT_X_CLKREQ_L/Default d 0.808 '' TBT_X_DPMUX_SEL/Default d 0.552 '' TBT_X_DPMUX_SEL_R/Default d 0.619 '' TBT_X_PCIE_BIAS/Default d 0.795 '' TBT_X_PCI_RESET_L/Default d 0.583 '' TBT_X_PLUG_EVENT_L/Default d 0.618 '' TBT_X_PWR_EN/Default d 0.570 '' TBT_X_RBIAS/Default d 0.784 '' TBT_X_ROM_HOLD_L/Default d 0.712 '' TBT_X_ROM_WP_L/Default d 0.609 '' TBT_X_SPI_CLK/Default d 0.579 '' TBT_X_SPI_CLK_DBG/Default d 0.680 '' TBT_X_SPI_CS_L/Default d 0.614 '' TBT_X_SPI_MISO/Default d 0.618 '' TBT_X_SPI_MOSI/Default d 0.621 '' TBT_X_USB_PWR_EN/Default d 0.567 '' TEST_CPU_A35/Default d 0.306 '' TEST_CPU_BJ34/Default d 0.328 '' TEST_CPU_BJ36/Default d 0.328 '' TEST_CPU_CN36/Default d 0.354 '' TEST_CPU_D34/Default d 0.306 '' TEST_CPU_F34/Default d 0.361 '' TEST_CPU_F37/Default d 0.362 '' TEST_ISNS_EADCN/Default d OL '' TEST_ISNS_EADCP/Default d OL '' TEST_NOA_N_10/Default d 0.824 '' TEST_NOA_N_11/Default d 0.825 '' TEST_SOC_AMUXOUT/Default d 0.818 '' TEST_SOC_TST_CLKOUT/Default d 0.463 '' TPAD_3V3_ACTUATOR_DISABLE_L/Default d 0.981 '' TPAD_3V3_SPI_EN/Default d 0.636 '' TPAD_3V3_SPI_INT_L/Default d 0.992 '' TPAD_ACTUATOR_DISABLE_L/Default d 0.464 '' TPAD_KBD_WAKE_L/Default d 0.468 '' TPAD_SPI_EN/Default d 0.466 '' TPAD_SPI_INT_L/Default d 0.463 '' TP_CPU_PWRGD/Default d 0.342 '' TP_DFR_TOUCH_GPIO2/Default d OL '' TP_DFR_TOUCH_PANEL_DETECT/Default d OL '' TP_DFR_TOUCH_ROM_WC/Default d OL '' TP_JTAG_SOC_TRST_L/Default d 0.462 '' TP_LCD_IRQ_L/Default d OL '' TP_Q3100_DRAIN/Default d 0.633 '' TP_Q3200_DRAIN/Default d 0.641 '' TP_QB300_DRAIN/Default d 0.324 '' TP_QB400_DRAIN/Default d 0.625 '' TP_SMC_FIXTURE_MODE_L/Default d 0.467 '' TP_SOC_DEBUGPRT_RX/Default d 0.462 '' TP_SOC_DEBUGPRT_TX/Default d 0.461 '' TP_SPI_PCHROM_CLK/Default d 0.824 '' TP_SPI_PCHROM_CS_L/Default d 0.808 '' TP_SSD0_OCARINA_NAND_VCC_DET/Default d 0.760 '' TP_SSD0_S4E0_ANI0_VREF/Default d 0.608 '' TP_SSD0_S4E0_ANI1_VREF/Default d 0.607 '' TP_SSD0_S4E1_ANI0_VREF/Default d 0.607 '' TP_SSD0_S4E1_ANI1_VREF/Default d 0.608 '' TP_SSD0_S4E2_ANI0_VREF/Default d 0.605 '' TP_SSD0_S4E2_ANI1_VREF/Default d 0.605 '' TP_SSD0_S4E3_ANI0_VREF/Default d 0.607 '' TP_SSD0_S4E3_ANI1_VREF/Default d 0.609 '' TP_UPC_TB_GPIO0/Default d 0.772 '' TP_UPC_TB_GPIO1/Default d 0.771 '' TP_UPC_TB_SWD_CLK/Default d 0.752 '' TP_UPC_TB_SWD_DATA/Default d 0.746 '' TP_USB3_EXTC_D2R_N/Default d 0.344 '' TP_USB3_EXTC_D2R_P/Default d 0.342 '' TP_USB3_EXTC_R2D_C_N/Default d 0.328 '' TP_USB3_EXTC_R2D_C_P/Default d 0.330 '' TP_USBC_PP20V_TA/Default d OL '' TP_USBC_PP20V_TB/Default d OL '' TP_USBC_PP20V_XA/Default d OL '' TP_USBC_PP20V_XB/Default d OL '' TP_USB_FIXT1_N/Default d 0.482 '' TP_USB_FIXT1_P/Default d 0.484 '' TP_USB_FIXT2_N/Default d 0.486 '' TP_USB_FIXT2_P/Default d 0.484 '' UART_BT_D2R/Default d 0.463 '' UART_BT_D2R_CTS_L/Default d 0.462 '' UART_SE_D2R/Default d 0.463 '' UART_SE_D2R_CTS_L/Default d 0.462 '' UART_SE_R2D/Default d 0.464 '' UART_SE_R2D_RTS_L/Default d 0.464 '' UART_WLAN_D2R/Default d 0.467 '' UART_WLAN_D2R_CTS_L/Default d 0.467 '' UPC_I2C_INT_L/Default d 0.482 '' UPC_PMU_RESET/Default d 0.524 '' UPC_TA_DBG3/Default d 0.746 '' UPC_TA_DBG4/Default d OL '' UPC_TA_GATE1/Default d 0.630 '' UPC_TA_GATE2/Default d 0.622 '' UPC_TA_GPIO0/Default d 0.744 '' UPC_TA_GPIO1/Default d 0.742 '' UPC_TA_R_OSC/Default d 524.000 '' UPC_TA_SPI_CLK/Default d 0.560 '' UPC_TA_SPI_CS_L/Default d 0.582 '' UPC_TA_SPI_MISO/Default d 0.578 '' UPC_TA_SPI_MOSI/Default d 0.587 '' UPC_TA_SS/Default d 0.500 '' UPC_TA_UART_RX/Default d 0.722 '' UPC_TA_UART_TX/Default d 0.700 '' UPC_TB_DBG1/Default d 0.74 '' UPC_TB_DBG2/Default d 0.74 '' UPC_TB_DBG3/Default d 0.74 '' UPC_TB_DBG4/Default d 0.74 '' UPC_TB_R_OSC/Default d 0.49 '' UPC_TB_SS/Default d 0.49 '' UPC_T_5V_EN/Default d 0.530 '' UPC_T_5V_EN_R/Default d 0.528 '' UPC_T_SPI_CLK/Default d 0.583 '' UPC_T_SPI_CS_L/Default d 0.605 '' UPC_T_SPI_MISO/Default d 0.607 '' UPC_T_SPI_MOSI/Default d 0.610 '' UPC_XA_DBG1/Default d 0.576 '' UPC_XA_DBG2/Default d 0.577 '' UPC_XA_GATE1/Default d 0.663 '' UPC_XA_GATE2/Default d 0.622 '' UPC_XA_GPIO0/Default d 0.747 '' UPC_XA_R_OSC/Default d 0.536 '' UPC_XA_SS/Default d 0.528 '' UPC_XA_UART_RX/Default d 0.760 '' UPC_XA_UART_TX/Default d 0.70 '' UPC_XB_DBG3/Default d 0.530 '' UPC_XB_DBG4/Default d 0.529 '' UPC_XB_GPIO0/Default d 0.776 '' UPC_XB_GPIO1/Default d 0.73 '' UPC_XB_R_OSC/Default d 0.49 '' UPC_XB_SPI_CLK/Default d 0.595 '' UPC_XB_SPI_CS_L/Default d 0.631 '' UPC_XB_SPI_MISO/Default d 0.631 '' UPC_XB_SPI_MOSI/Default d 0.636 '' UPC_XB_SS/Default d 0.49 '' UPC_X_5V_EN/Default d 0.556 '' UPC_X_5V_EN_R/Default d 0.538 '' UPC_X_SPI_CLK/Default d 0.585 '' UPC_X_SPI_CS_L/Default d 0.610 '' UPC_X_SPI_MISO/Default d 0.608 '' UPC_X_SPI_MOSI/Default d 0.612 '' USB2_UPC_XA_N/Default d 0.485 '' USB2_UPC_XA_P/Default d 0.489 '' USB3_EXTA_D2R_N/Default d 0.346 '' USB3_EXTA_D2R_P/Default d 0.343 '' USB3_EXTA_R2D_C_N/Default d 0.334 '' USB3_EXTA_R2D_C_P/Default d 0.355 '' USB3_EXTA_R2D_N/Default d 0.739 '' USB3_EXTA_R2D_P/Default d 0.741 '' USBC_TA_AUXLSX1/Default d 0.681 '' USBC_TA_AUXLSX2/Default d 0.685 '' USBC_TA_CC1/Default d 0.582 '' USBC_TA_CC1_CONN/Default d 0.74 '' USBC_TA_CC2/Default d 0.580 '' USBC_TA_CC2_CONN/Default d 0.76 '' USBC_TA_SBU1/Default d OL '' USBC_TA_SBU2/Default d OL '' USBC_TA_USB_BOT_N/Default d OL '' USBC_TA_USB_BOT_P/Default d OL '' USBC_TA_USB_TOP_N/Default d OL '' USBC_TA_USB_TOP_P/Default d OL '' USBC_TB_AUXLSX1/Default d 0.704 '' USBC_TB_AUXLSX2/Default d 0.707 '' USBC_TB_CC1/Default d 0.58 '' USBC_TB_CC1_CONN/Default d 0.76 '' USBC_TB_CC2/Default d 0.58 '' USBC_TB_CC2_CONN/Default d 0.77 '' USBC_TB_SBU1/Default d 0.890 '' USBC_TB_SBU2/Default d 0.885 '' USBC_TB_USB_BOT_N/Default d OL '' USBC_TB_USB_BOT_P/Default d OL '' USBC_TB_USB_TOP_N/Default d 0.960 '' USBC_TB_USB_TOP_P/Default d 0.903 '' USBC_T_RESET_L/Default d 0.725 '' USBC_XA_AUXLSX1/Default d 0.708 '' USBC_XA_AUXLSX2/Default d 0.710 '' USBC_XA_CC1/Default d 0.597 '' USBC_XA_CC1_CONN/Default d 0.939 '' USBC_XA_CC2/Default d 0.599 '' USBC_XA_CC2_CONN/Default d 0.927 '' USBC_XA_SBU1/Default d 0.824 '' USBC_XA_SBU2/Default d 0.866 '' USBC_XA_USB_DBG_BOT_N/Default d 0.315 '' USBC_XA_USB_DBG_BOT_P/Default d 0.315 '' USBC_XA_USB_DBG_TOP_N/Default d 0.316 '' USBC_XA_USB_DBG_TOP_P/Default d 0.317 '' USBC_XA_USB_TOP_N/Default d 0.003 '' USBC_XA_USB_TOP_N/Default r 0.344R '' USBC_XA_USB_TOP_P/Default d 0.579 '' USBC_XB_AUXLSX1/Default d 0.708 '' USBC_XB_AUXLSX2/Default d 0.710 '' USBC_XB_CC1/Default d 0.57 '' USBC_XB_CC1_CONN/Default d 0.947 '' USBC_XB_CC2/Default d 0.58 '' USBC_XB_CC2_CONN/Default d 0.950 '' USBC_XB_SBU1/Default d 0.842 '' USBC_XB_SBU2/Default d OL '' USBC_XB_USB_BOT_N/Default d 0.954 '' USBC_XB_USB_BOT_P/Default d 0.953 '' USBC_XB_USB_TOP_N/Default d 0.951 '' USBC_XB_USB_TOP_P/Default d OL '' USB_DBG_XA_F_N/Default d 0.488 '' USB_DBG_XA_F_P/Default d 0.492 '' USB_SOC_N/Default d 0.727 '' USB_SOC_P/Default d 0.750 '' USB_SOC_TYPEC_N/Default d 0.722 '' USB_SOC_TYPEC_P/Default d 0.721 '' USB_UPC_TA_F_N/Default d 0.413 '' USB_UPC_TA_F_P/Default d 0.414 '' USB_UPC_TB_F_N/Default d 0.41 '' USB_UPC_TB_F_P/Default d 0.41 '' USB_UPC_XA_N/Default d 0.756 '' USB_UPC_XA_P/Default d 0.756 '' USB_UPC_XB_F_N/Default d 0.41 '' USB_UPC_XB_F_P/Default d 0.41 '' UVP_DIS_L/Default d 0.570 '' VR0V9_IND_TBT_T/Default d 0.322 '' VR0V9_IND_TBT_X/Default d 0.353 '' WIFI_SROM_ORG/Default d OL '' WLAN_AUDIO_SYNC/Default d 0.694 '' WLAN_CONTEXT_A/Default d 0.461 '' WLAN_CONTEXT_B/Default d 0.462 '' WLAN_JTAG_SEL/Default d 0.739 '' WLAN_JTAG_TCK/Default d 0.471 '' WLAN_JTAG_TDI/Default d 0.466 '' WLAN_JTAG_TDO/Default d 0.754 '' WLAN_JTAG_TMS/Default d 0.468 '' WLAN_JTAG_TRST_L/Default d 0.754 '' WLAN_OP_EN/Default d OL '' WLAN_OP_EN_L/Default d OL '' WLAN_PWR_EN/Default d 0.484 '' WLAN_SROM_STRAP/Default d 0.739 '' XDP_BPM_L<0>/Default d 0.308 '' XDP_CPU_PWRBTN_L/Default d 0.783 '' XDP_CPU_TCK/Default d 0.058 '' XDP_CPU_TCK/Default r 54.800R '' XDP_CPU_TDI/Default d 0.251 '' XDP_CPU_TDO/Default d 0.239 '' XDP_CPU_TMS/Default d 0.252 '' XDP_CPU_TRST_L/Default d 0.297 '' XDP_DBRESET_L/Default d 0.819 '' XDP_DP_INT_HPD/Default d 0.591 '' XDP_PCH_OBSDATA_A0/Default d 0.824 '' XDP_PCH_OBSDATA_A1/Default d 0.824 '' XDP_PCH_OBSDATA_A2/Default d 0.823 '' XDP_PCH_OBSDATA_A3/Default d 0.824 '' XDP_PCH_OBSDATA_B0/Default d 0.826 '' XDP_PCH_OBSDATA_D1/Default d 0.823 '' XDP_PCH_OBSDATA_D2/Default d 0.824 '' XDP_PCH_OBSDATA_D3/Default d 0.824 '' XDP_PCH_OBSFN_C1/Default d 0.824 '' XDP_PCH_TCK/Default d 0.317 '' XDP_PCH_TDI/Default d 0.256 '' XDP_PCH_TDO/Default d 0.241 '' XDP_PCH_TMS/Default d 0.254 '' XDP_PCH_TRST_L/Default d 0.299 '' XDP_PM_RSMRST_L/Default d 1.499 '' XDP_PRESENT_CPU/Default d 1.311 '' XDP_PRESENT_L/Default d 0.459 '' XDP_USB_EXTA_OC_L/Default d 0.760 '' XDP_USB_EXTB_OC_L/Default d 0.763 '' XDP_USB_EXTC_OC_L/Default d - '' XDP_USB_EXTD_OC_L/Default d 0.763 '' PP1V8_UPC_XA_LDOD/TEST+HAS d 0.470 '' NETS_DATA_END ### END